OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [avf_scoreboard.svh] - Diff between revs 45 and 49

Show entire file | Details | Blame | View Log

Rev 45 Rev 49
Line 67... Line 67...
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  function void print_video_frame(ref video_frame_class f_h);
  function void print_video_frame(ref video_frame_class f_h);
    string s;
    string s;
    f_h.print_config();
    $display("%s", {80{"="}});
    $display(f_h.convert2string());
    $display(f_h.convert2string());
  endfunction : print_video_frame
  endfunction : print_video_frame
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function void write(avf_sequence_item t);
  function void write(avf_sequence_item t);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.