OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [s_avf_base.svh] - Diff between revs 45 and 47

Show entire file | Details | Blame | View Log

Rev 45 Rev 47
Line 30... Line 30...
  `uvm_object_utils(s_avf_base)
  `uvm_object_utils(s_avf_base)
 
 
  s_avf_api avf_api_h;
  s_avf_api avf_api_h;
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function void init( int pixels_per_line
  function void init(video_frame_config c_h);
                    , int lines_per_frame
 
                    , int bits_per_pixel
 
                    , int pixels_per_clk
 
                    );
 
    avf_api_h = s_avf_api::type_id::create("s_avf_api");
    avf_api_h = s_avf_api::type_id::create("s_avf_api");
    avf_api_h.init( pixels_per_line
    avf_api_h.init(c_h);
                  , lines_per_frame
 
                  , bits_per_pixel
 
                  , pixels_per_clk
 
                  );
 
  endfunction : init
  endfunction : init
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function new(string name = "s_avf_base");
  function new(string name = "s_avf_base");
    super.new(name);
    super.new(name);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.