OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [tb/] [tb_pkg.sv] - Diff between revs 45 and 50

Show entire file | Details | Blame | View Log

Rev 45 Rev 50
Line 29... Line 29...
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  `include "random_delay.svh"
  `include "random_delay.svh"
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endpackage : tb_pkg
endpackage
endpackage
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.