OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [video_frame/] [video_frame_config.svh] - Diff between revs 47 and 50

Show entire file | Details | Blame | View Log

Rev 47 Rev 50
Line 55... Line 55...
    // --------------------------------------------------------------------
    // --------------------------------------------------------------------
    assert(bus_width % bytes_per_pixel == 0);
    assert(bus_width % bytes_per_pixel == 0);
  endfunction : init
  endfunction : init
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass: video_frame_config
endclass
endclass
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.