OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_register_if.sv] - Diff between revs 34 and 37

Show entire file | Details | Blame | View Log

Rev 34 Rev 37
Line 39... Line 39...
    input reset
    input reset
  );
  );
 
 
  wire  [31:0] register_in   [RC-1:0];
  wire  [31:0] register_in   [RC-1:0];
  reg   [31:0] register_out  [RC-1:0];
  reg   [31:0] register_out  [RC-1:0];
 
  reg           wr_en         [RC-1:0];
 
 
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
//
//
endinterface
endinterface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.