OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lite_lib/] [src/] [axi4_lite_register_file.sv] - Diff between revs 31 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 31 Rev 37
Line 71... Line 71...
 
 
  generate
  generate
    for(j = 0; j < MI; j = j + 1)
    for(j = 0; j < MI; j = j + 1)
    begin: decoder_gen
    begin: decoder_gen
      assign register_select[j] = (axi4_write_fifo.awaddr[UB:LB] == j) ? 1 : 0;
      assign register_select[j] = (axi4_write_fifo.awaddr[UB:LB] == j) ? 1 : 0;
 
      assign r_if.wr_en[j]      = rf_wr_en & register_select[j];
 
 
      always_ff @(posedge aclk)
      always_ff @(posedge aclk)
        if(~aresetn)
        if(~aresetn)
          r_if.register_out[j] <= 0;
          r_if.register_out[j] <= 0;
        else if(rf_wr_en & register_select[j])
        else if(r_if.wr_en[j])
          r_if.register_out[j] <= axi4_write_fifo.wdata;
          r_if.register_out[j] <= axi4_write_fifo.wdata;
    end
    end
  endgenerate
  endgenerate
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.