OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [axis_mux.sv] - Diff between revs 36 and 37

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 36 Rev 37
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
module
module
  axis_mux
  axis_mux
  #(
  #(
    N,      // data bus width in bytes
    N,      // data bus width in bytes
    I = 1,  // TID width
    I = 1,  // TID width
    D = 1,  // TDEST width
    D = 1,  // TDEST width
    U = 1,  // TUSER width
    U = 1,  // TUSER width
    USE_TSTRB = 0,  //  set to 1 to enable, 0 to disable
    USE_TSTRB = 0,  //  set to 1 to enable, 0 to disable
    USE_TKEEP = 0   //  set to 1 to enable, 0 to disable
    USE_TKEEP = 0   //  set to 1 to enable, 0 to disable
  )
  )
  (
  (
    input   select,
    input   select,
    axis_if axis_in[1:0],
    axis_if axis_in[1:0],
    axis_if axis_out,
    axis_if axis_out,
    input   aclk,
    input   aclk,
    input   aresetn
    input   aresetn
  );
  );
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  axis_if #(.N(N), .I(I), .D(D), .U(U)) axis_mux_out(.*);
  defparam axis_mux_out.N = N; // why are needed these for recursive modules?
 
  defparam axis_mux_out.I = I;
 
  defparam axis_mux_out.D = D;
 
  defparam axis_mux_out.U = U;
 
  axis_if axis_mux_out(.*);
 
  // axis_if #(.N(N), .I(I), .D(D), .U(U)) axis_mux_out(.*);
 
 
  assign axis_in[0].tready = select ? 0                   : axis_mux_out.tready;
  assign axis_in[0].tready = select ? 0                   : axis_mux_out.tready;
  assign axis_in[1].tready = select ? axis_mux_out.tready : 0;
  assign axis_in[1].tready = select ? axis_mux_out.tready : 0;
  assign axis_mux_out.tvalid = select ? axis_in[1].tvalid : axis_in[0].tvalid;
  assign axis_mux_out.tvalid = select ? axis_in[1].tvalid : axis_in[0].tvalid;
  assign axis_mux_out.tdata  = select ? axis_in[1].tdata  : axis_in[0].tdata;
  assign axis_mux_out.tdata  = select ? axis_in[1].tdata  : axis_in[0].tdata;
  assign axis_mux_out.tstrb  = select ? axis_in[1].tstrb  : axis_in[0].tstrb;
  assign axis_mux_out.tstrb  = select ? axis_in[1].tstrb  : axis_in[0].tstrb;
  assign axis_mux_out.tkeep  = select ? axis_in[1].tkeep  : axis_in[0].tkeep;
  assign axis_mux_out.tkeep  = select ? axis_in[1].tkeep  : axis_in[0].tkeep;
  assign axis_mux_out.tlast  = select ? axis_in[1].tlast  : axis_in[0].tlast;
  assign axis_mux_out.tlast  = select ? axis_in[1].tlast  : axis_in[0].tlast;
  assign axis_mux_out.tid    = select ? axis_in[1].tid    : axis_in[0].tid;
  assign axis_mux_out.tid    = select ? axis_in[1].tid    : axis_in[0].tid;
  assign axis_mux_out.tdest  = select ? axis_in[1].tdest  : axis_in[0].tdest;
  assign axis_mux_out.tdest  = select ? axis_in[1].tdest  : axis_in[0].tdest;
  assign axis_mux_out.tuser  = select ? axis_in[1].tuser  : axis_in[0].tuser;
  assign axis_mux_out.tuser  = select ? axis_in[1].tuser  : axis_in[0].tuser;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
 
  defparam axis_register_slice_i.N = N; // why are needed these for recursive modules?
 
  defparam axis_register_slice_i.I = I;
 
  defparam axis_register_slice_i.D = D;
 
  defparam axis_register_slice_i.U = U;
  axis_register_slice
  axis_register_slice
    #(
    // #(
      .N(N),
      // .N(N),
      .I(I),
      // .I(I),
      .D(D),
      // .D(D),
      .U(U),
      // .U(U),
      .USE_TSTRB(0),
      // .USE_TSTRB(0),
      .USE_TKEEP(0)
      // .USE_TKEEP(0)
    )
    // )
    axis_register_slice_i
    axis_register_slice_i
    (
    (
      .axis_in(axis_mux_out), // slave
      .axis_in(axis_mux_out), // slave
      .axis_out(axis_out),    // master
      .axis_out(axis_out),    // master
      .*
      .*
    );
    );
// --------------------------------------------------------------------
// --------------------------------------------------------------------
//
//
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.