OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [axis_switch_allocator.sv] - Diff between revs 36 and 37

Show entire file | Details | Blame | View Log

Rev 36 Rev 37
Line 44... Line 44...
    input   aresetn
    input   aresetn
  );
  );
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  wire eop_in;
 
 
 
  axis_eop_set #(U_IS_EOP)
 
    axis_eop_set_i
 
    (
 
      .axis_in(axis_in),
 
      .tready(axis_switch_in.tready),
 
      .tvalid(axis_in.tvalid),
 
      .axis_eop(eop_in),
 
      .*
 
    );
 
 
 
 
 
  // --------------------------------------------------------------------
 
  //
 
  wire eop_out_mux;
  wire eop_out_mux;
  reg [SA-1:0] select;
  reg [SA-1:0] select;
 
 
  axis_eop_mux #(.U_IS_EOP(U_IS_EOP), .MA(SA))
  axis_eop_mux #(.U_IS_EOP(U_IS_EOP), .MA(SA))
    axis_eop_mux_i
    axis_eop_mux_i
Line 80... Line 65...
  axis_alias #(.CONNECT_TREADY(0), .CONNECT_TVALID(0))
  axis_alias #(.CONNECT_TREADY(0), .CONNECT_TVALID(0))
    axis_alias_i(.axis_out(axis_switch_in), .*);
    axis_alias_i(.axis_out(axis_switch_in), .*);
 
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
 
  //
 
  wire eop_in;
 
 
 
  axis_eop_set #(U_IS_EOP)
 
    axis_eop_set_i
 
    (
 
      .axis_in(axis_in),
 
      .tready(axis_switch_in.tready),
 
      .tvalid(axis_in.tvalid),
 
      .axis_eop(eop_in),
 
      .*
 
    );
 
 
 
 
 
  // --------------------------------------------------------------------
  //  state machine binary definitions
  //  state machine binary definitions
  enum reg [3:0]
  enum reg [3:0]
    {
    {
      ALLOT   = 4'b0001,
      ALLOT   = 4'b0001,
      FLUSH   = 4'b0010,
      FLUSH   = 4'b0010,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.