OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [src/] [FIFOs/] [sync_fifo.sv] - Diff between revs 34 and 38

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 34 Rev 38
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
module
module
  sync_fifo
  sync_fifo
  #(
  #(
    W = 8,
    W = 8,
    D = 16,
    D = 16,
    UB = $clog2(D)
    UB = $clog2(D)
  )
  )
  (
  (
    output            wr_full,
    output            wr_full,
    input   [W-1:0]   wr_data,
    input   [W-1:0]   wr_data,
    input             wr_en,
    input             wr_en,
    output            rd_empty,
    output            rd_empty,
    output  [W-1:0]   rd_data,
    output  [W-1:0]   rd_data,
    input             rd_en,
    input             rd_en,
    output  [UB:0]    count,
    output  [UB:0]    count,
    input             clk,
    input             clk,
    input             reset
    input             reset
  );
  );
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  generate
  generate
    begin: fifo_gen
    begin: fifo_gen
      if(D == 2)
      if(D == 2)
      begin
      begin
        assign count = 0;
        assign count = 0;
        tiny_sync_fifo #(.W(W))
        tiny_sync_fifo #(.W(W))
          tiny_sync_fifo_i(.*);
          tiny_sync_fifo_i(.*);
      end
      end
      else
      else
      begin
      begin
        bc_sync_fifo #(.depth(D), .width(W))
        bc_sync_fifo #(.depth(D), .width(W))
          bc_sync_fifo_i
          bc_sync_fifo_i
          (
          (
            .wr_enable(wr_en),
            .wr_enable(wr_en),
            .rd_enable(rd_en),
            .rd_enable(rd_en),
            .empty(rd_empty),
            .empty(rd_empty),
            .full(wr_full),
            .full(wr_full),
            .count(count),
            .count(count),
            .*
            .*
           );
           );
      end
      end
    end
    end
  endgenerate
  endgenerate
// --------------------------------------------------------------------
// --------------------------------------------------------------------
 
// synthesis translate_off
 
  always_ff @(posedge clk)
 
    if(wr_en & wr_full)
 
      $stop;
 
  always_ff @(posedge clk)
 
    if(rd_en & rd_empty)
 
      $stop;
 
// synthesis translate_on
 
// --------------------------------------------------------------------
 
 
 
 
 
// --------------------------------------------------------------------
//
//
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.