OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [src/] [FIFOs/] [tiny_sync_fifo.sv] - Diff between revs 34 and 36

Show entire file | Details | Blame | View Log

Rev 34 Rev 36
Line 27... Line 27...
 
 
 
 
module
module
  tiny_sync_fifo
  tiny_sync_fifo
  #(
  #(
    W = 0
    W
  )
  )
  (
  (
    output  reg       wr_full,
    output  reg       wr_full,
    input   [W-1:0]   wr_data,
    input   [W-1:0]   wr_data,
    input             wr_en,
    input             wr_en,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.