OpenCores
URL https://opencores.org/ocsvn/robust_axi_fabric/robust_axi_fabric/trunk

Subversion Repositories robust_axi_fabric

[/] [robust_axi_fabric/] [trunk/] [src/] [base/] [ic_dec.v] - Diff between revs 13 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 16
Line 30... Line 30...
OUTFILE PREFIX_ic_dec.v
OUTFILE PREFIX_ic_dec.v
 
 
ITER MX
ITER MX
ITER SX
ITER SX
 
 
LOOP MX
 
ITER MMX_IDX
 
ENDLOOP MX
 
 
 
module PREFIX_ic_dec (PORTS);
module PREFIX_ic_dec (PORTS);
 
 
   input [ADDR_BITS-1:0]                       MMX_AADDR;
   input [ADDR_BITS-1:0]                       MMX_AADDR;
   input [ID_BITS-1:0]                         MMX_AID;
   input [ID_BITS-1:0]                         MMX_AID;
   output [SLV_BITS-1:0]                       MMX_ASLV;
   output [SLV_BITS-1:0]                       MMX_ASLV;
Line 52... Line 48...
   LOOP MX
   LOOP MX
     always @(MMX_AADDR or MMX_AIDOK)
     always @(MMX_AADDR or MMX_AIDOK)
       begin
       begin
IFDEF TRUE(SLAVE_NUM==1)
IFDEF TRUE(SLAVE_NUM==1)
          case (MMX_AIDOK)
          case (MMX_AIDOK)
            1'b1 : MMX_ASLV = 'd0;
            1'b1 : MMX_ASLV = SLV_BITS'd0;
ELSE TRUE(SLAVE_NUM==1)
ELSE TRUE(SLAVE_NUM==1)
          case ({MMX_AIDOK, MMX_AADDR[DEC_MSB:DEC_LSB]})
          case ({MMX_AIDOK, MMX_AADDR[DEC_MSB:DEC_LSB]})
            {1'b1, BIN(SX SLV_BITS)} : MMX_ASLV = 'dSX;
            {1'b1, BIN(SX SLV_BITS)} : MMX_ASLV = SLV_BITS'dSX;
ENDIF TRUE(SLAVE_NUM==1)
ENDIF TRUE(SLAVE_NUM==1)
            default : MMX_ASLV = 'dSERR;
            default : MMX_ASLV = SLV_BITS'dSERR;
          endcase
          endcase
       end
       end
 
 
   always @(MMX_AID)
   always @(MMX_AID)
     begin
     begin
        case (MMX_AID)
        case (MMX_AID)
          ID_MMX_IDMMX_IDX : MMX_AIDOK = 1'b1;
          ID_BITS'GROUP_MMX_ID : MMX_AIDOK = 1'b1;
          default : MMX_AIDOK = 1'b0;
          default : MMX_AIDOK = 1'b0;
        endcase
        endcase
     end
     end
 
 
   ENDLOOP MX
   ENDLOOP MX

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.