OpenCores
URL https://opencores.org/ocsvn/robust_axi_fabric/robust_axi_fabric/trunk

Subversion Repositories robust_axi_fabric

[/] [robust_axi_fabric/] [trunk/] [src/] [base/] [ic_registry_resp.v] - Diff between revs 16 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 19
Line 70... Line 70...
   reg                              SSX_OK;
   reg                              SSX_OK;
 
 
 
 
 
 
 
 
   assign                           Amatch_MMX_IDGROUP_MMX_ID.IDX = MMX_AID == ID_BITS'GROUP_MMX_ID;
   assign                           Amatch_MMX_IDGROUP_MMX_ID.IDX = MMX_AID == ID_BITS'bADD_IDGROUP_MMX_ID;
 
 
   assign                           match_SSX_MMX_IDGROUP_MMX_ID.IDX = SSX_ID == ID_BITS'GROUP_MMX_ID;
   assign                           match_SSX_MMX_IDGROUP_MMX_ID.IDX = SSX_ID == ID_BITS'bADD_IDGROUP_MMX_ID;
 
 
 
 
   assign                           cmd_push_MMX           = MMX_AVALID & MMX_AREADY;
   assign                           cmd_push_MMX           = MMX_AVALID & MMX_AREADY;
   assign                           cmd_push_MMX_IDGROUP_MMX_ID.IDX = cmd_push_MMX & Amatch_MMX_IDGROUP_MMX_ID.IDX;
   assign                           cmd_push_MMX_IDGROUP_MMX_ID.IDX = cmd_push_MMX & Amatch_MMX_IDGROUP_MMX_ID.IDX;
   assign                           cmd_pop_SSX            = SSX_VALID & SSX_READY & SSX_LAST;
   assign                           cmd_pop_SSX            = SSX_VALID & SSX_READY & SSX_LAST;
Line 105... Line 105...
 
 
LOOP SX
LOOP SX
   always @(*)
   always @(*)
     begin
     begin
        case (SSX_ID)
        case (SSX_ID)
          ID_BITS'GROUP_MMX_ID : SSX_MSTR = MSTR_BITS'dMX;
          ID_BITS'bADD_IDGROUP_MMX_ID : SSX_MSTR = MSTR_BITS'dMX;
          default : SSX_MSTR = ERR_MSTR;
          default : SSX_MSTR = ERR_MSTR;
        endcase
        endcase
     end
     end
 
 
   always @(*)
   always @(*)
     begin
     begin
        case (SSX_ID)
        case (SSX_ID)
          ID_BITS'GROUP_MMX_ID : SSX_OK = slave_out_MMX_IDGROUP_MMX_ID.IDX == SLV_BITS'dSX;
          ID_BITS'bADD_IDGROUP_MMX_ID : SSX_OK = slave_out_MMX_IDGROUP_MMX_ID.IDX == SLV_BITS'dSX;
          default : SSX_OK = 1'b1; //SLVERR                                   
          default : SSX_OK = 1'b1; //SLVERR                                   
        endcase
        endcase
     end
     end
ENDLOOP SX
ENDLOOP SX
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.