OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

[/] [rs232_interface/] [trunk/] [uart.vhd] - Diff between revs 11 and 18

Show entire file | Details | Blame | View Log

Rev 11 Rev 18
Line 160... Line 160...
        rx_start_detect:process(clk)
        rx_start_detect:process(clk)
                variable rx_data_old    :       std_logic;
                variable rx_data_old    :       std_logic;
        begin
        begin
                if clk'event and clk = '1' then
                if clk'event and clk = '1' then
                        -- Falling edge detection
                        -- Falling edge detection
                        if rx_data_old = '1' and rx_data_deb = '0' then
                        if rx_data_old = '1' and rx_data_deb = '0' and rx_fsm = idle then
                                rx_rcv_init             <=      '1';
                                rx_rcv_init             <=      '1';
                        else
                        else
                                rx_rcv_init             <=      '0';
                                rx_rcv_init             <=      '0';
                        end if;
                        end if;
                        -- Default assignments
                        -- Default assignments

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.