OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [rtl/] [alttop.v] - Diff between revs 8 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 13
Line 44... Line 44...
//
//
//
//
module alttop(i_clk_8mhz,
module alttop(i_clk_8mhz,
                o_qspi_cs_n, o_qspi_sck, io_qspi_dat,
                o_qspi_cs_n, o_qspi_sck, io_qspi_dat,
                i_btn, o_led, o_pwm, o_pwm_shutdown_n, o_pwm_gain,
                i_btn, o_led, o_pwm, o_pwm_shutdown_n, o_pwm_gain,
                        i_uart, o_uart, i_uart_cts, o_uart_rts,
                        i_uart, o_uart, o_uart_cts, i_uart_rts,
                i_kp_row, o_kp_col,
                i_kp_row, o_kp_col,
                i_gpio, o_gpio,
                i_gpio, o_gpio,
                io_scl, io_sda,
                io_scl, io_sda,
                i_depp_astb_n, i_depp_dstb_n, i_depp_write_n, io_depp_data,
                i_depp_astb_n, i_depp_dstb_n, i_depp_write_n, io_depp_data,
                        o_depp_wait
                        o_depp_wait
Line 67... Line 67...
        //
        //
        // and our serial port
        // and our serial port
        input           i_uart;
        input           i_uart;
        output  wire    o_uart;
        output  wire    o_uart;
        //      and it's associated control wires
        //      and it's associated control wires
        input           i_uart_cts;
        output  wire    o_uart_cts;
        output  wire    o_uart_rts;
        input           i_uart_rts;
        // Our keypad
        // Our keypad
        input           [3:0]    i_kp_row;
        input           [3:0]    i_kp_row;
        output  wire    [3:0]    o_kp_col;
        output  wire    [3:0]    o_kp_col;
        // and our GPIO
        // and our GPIO
        input           [15:2]  i_gpio;
        input           [15:2]  i_gpio;
Line 130... Line 130...
        assign  tx_break = 1'b0;
        assign  tx_break = 1'b0;
        rxuart  rcvuart(clk_s, 1'b0, uart_setup,
        rxuart  rcvuart(clk_s, 1'b0, uart_setup,
                        i_uart, rx_stb, rx_data,
                        i_uart, rx_stb, rx_data,
                        rx_break, rx_parity_err, rx_frame_err, rx_ck_uart);
                        rx_break, rx_parity_err, rx_frame_err, rx_ck_uart);
        txuart  tcvuart(clk_s, reset_s, uart_setup, tx_break, tx_stb, tx_data,
        txuart  tcvuart(clk_s, reset_s, uart_setup, tx_break, tx_stb, tx_data,
                        o_uart, i_uart_cts, tx_busy);
                        o_uart, tx_busy);
 
 
 
 
        //
        //
        // ALT-BUSMASTER
        // ALT-BUSMASTER
        //
        //
Line 151... Line 151...
        wire    [1:0]    qspi_bmod;
        wire    [1:0]    qspi_bmod;
        wire    [15:0]   w_gpio;
        wire    [15:0]   w_gpio;
        wire    [7:0]    w_depp_data;
        wire    [7:0]    w_depp_data;
 
 
`ifndef BYPASS_LOGIC
`ifndef BYPASS_LOGIC
        altbusmaster    slavedbus(clk_s, reset_s,
        altbusmaster    slavedbus(clk_s, 1'b0,
                // External ... bus control (if enabled)
                // External ... bus control (if enabled)
                // DEPP I/O Control
                // DEPP I/O Control
                i_depp_astb_n, i_depp_dstb_n, i_depp_write_n,
                i_depp_astb_n, i_depp_dstb_n, i_depp_write_n,
                        io_depp_data, w_depp_data, o_depp_wait,
                        io_depp_data, w_depp_data, o_depp_wait,
                // External UART interface
                // External UART interface
                rx_stb, rx_data, tx_stb, tx_data, tx_busy, o_uart_rts,
                rx_stb, rx_data, tx_stb, tx_data, tx_busy, w_uart_cts,
                // SPI/SD-card flash
                // SPI/SD-card flash
                o_qspi_cs_n, o_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
                o_qspi_cs_n, o_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
                // Board lights and switches
                // Board lights and switches
                i_btn, o_led, o_pwm, { o_pwm_shutdown_n, o_pwm_gain },
                i_btn, o_led, o_pwm, { o_pwm_shutdown_n, o_pwm_gain },
                // Keypad connections
                // Keypad connections
Line 169... Line 169...
                // UART control
                // UART control
                uart_setup,
                uart_setup,
                // GPIO lines
                // GPIO lines
                { i_gpio, io_scl, io_sda }, w_gpio
                { i_gpio, io_scl, io_sda }, w_gpio
                );
                );
 
        assign  o_uart_cts = (w_uart_cts)&&(i_uart_rts);
 
 
        //
        //
        // Quad SPI support
        // Quad SPI support
        //
        //
        //      Supporting a Quad SPI port requires knowing which direction the
        //      Supporting a Quad SPI port requires knowing which direction the
Line 208... Line 209...
        assign  o_qspi_cs_n = 1'b1;
        assign  o_qspi_cs_n = 1'b1;
        assign  o_qspi_sck = 1'b1;
        assign  o_qspi_sck = 1'b1;
 
 
        assign  uart_setup = 30'h080002b6;
        assign  uart_setup = 30'h080002b6;
 
 
        assign  o_uart_rts = 1'b1;
        assign  o_uart_cts = 1'b1;
`endif
`endif
        //
        //
        // I2C support
        // I2C support
        //
        //
        //      Supporting I2C requires a couple quick adjustments to our
        //      Supporting I2C requires a couple quick adjustments to our

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.