OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [README.txt] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 59... Line 59...
 
 
 
 
Version history
Version history
---------------
---------------
 
 
 
2011-08-11
 
 * spwahbmst.vhd: Fix incorrect "hsize" indication on AHB access.
 
   Many thanks to Søren Larsen for reporting this bug.
 
 
2011-07-10
2011-07-10
 * spwamba_test.c: Longer delays to run correctly on 100 MHz LEON3.
 * spwamba_test.c: Longer delays to run correctly on 100 MHz LEON3.
 * spwltest.c: Allow smaller user-defined block size; skip unnecessery tests.
 * spwltest.c: Allow smaller user-defined block size; skip unnecessery tests.
 * License changed to allow LGPL in addition to GPL for non-GRLIB parts.
 * License changed to allow LGPL in addition to GPL for non-GRLIB parts.
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.