OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [README.txt] - Diff between revs 3 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 35... Line 35...
Future plans:
Future plans:
 * add AMBA bus interface
 * add AMBA bus interface
 * try to run on Virtex
 * try to run on Virtex
 
 
 
 
 
Version history
 
---------------
 
 
 
2010-09-12
 
 * Fixed issue with automatic discarding of TX data after link error.
 
 * After link error, spwstream will terminate current packet with EEP even
 
   if the linkdisable signal is active.
 
 * Added code comment to clarify a dependency between spwrecv and spwlink.
 
   (Thanks to Rafael Corsi Ferrao for reporting this obscurity.)
 
 
 
2010-07-12
 
 * Added manual.
 
 * Fix incorrect bitrate during link handshake. The system clock frequency
 
   was used to compute the initial tx clock divider, even when the clock
 
   divider would act on the txclk instead of the system clock.
 
 * Improve fast transmitter. Sending FCT tokens at high bit rate no longer
 
   causes insertion of a NULL token.
 
 
 
2010-06-08
 
 * Initial release.
 
 
 
 
Contact
Contact
-------
-------
 
 
For the latest version of this core, see the OpenCores project page.
For the latest version of this core, see the OpenCores project page.
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.