OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [rtl/] [vhdl/] [spwpkg.vhd] - Diff between revs 4 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 372... Line 372...
            spw_si:     in  std_logic       -- Strobe In signal from SpaceWire bus
            spw_si:     in  std_logic       -- Strobe In signal from SpaceWire bus
        );
        );
    end component spwrecvfront_fast;
    end component spwrecvfront_fast;
 
 
 
 
    -- Synchronous dual-port memory.
    -- Synchronous two-port memory.
    component spwram is
    component spwram is
        generic (
        generic (
            abits:      integer;
            abits:      integer;
            dbits:      integer );
            dbits:      integer );
        port (
        port (
Line 388... Line 388...
            wen:        in  std_logic;
            wen:        in  std_logic;
            waddr:      in  std_logic_vector(abits-1 downto 0);
            waddr:      in  std_logic_vector(abits-1 downto 0);
            wdata:      in  std_logic_vector(dbits-1 downto 0) );
            wdata:      in  std_logic_vector(dbits-1 downto 0) );
    end component spwram;
    end component spwram;
 
 
 
 
 
    --  Double flip-flop synchronizer.
 
    component syncdff is
 
        port (
 
            clk:        in  std_logic;          -- clock (destination domain)
 
            rst:        in  std_logic;          -- asynchronous reset, active-high
 
            di:         in  std_logic;          -- input data
 
            do:         out std_logic );        -- output data
 
    end component syncdff;
 
 
end package;
end package;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.