OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [core_top.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 13... Line 13...
----                                                              ---- 
----                                                              ---- 
----  To Do:                                                      ---- 
----  To Do:                                                      ---- 
----   -                                                          ---- 
----   -                                                          ---- 
----                                                              ---- 
----                                                              ---- 
----  Author(s):                                                  ---- 
----  Author(s):                                                  ---- 
----      - Sinx, email@opencores.org               ---- 
----      - Sinx, sinx@opencores.org                              ---- 
----                                                              ---- 
----                                                              ---- 
----------------------------------------------------------------------
----------------------------------------------------------------------
--    SVN information
----    SVN information
--
----
--      $URL:  $
----      $URL:  $
-- $Revision:  $
---- $Revision:  $
--     $Date:  $
----     $Date:  $
--   $Author:  $
----   $Author:  $
--       $Id:  $
----       $Id:  $
--
 
---------------------------------------------------------------------- 
---------------------------------------------------------------------- 
----                                                              ---- 
----                                                              ---- 
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ---- 
----                                                              ---- 
----                                                              ---- 
---- This source file may be used and distributed without         ---- 
---- This source file may be used and distributed without         ---- 
Line 73... Line 72...
    signals_i                       : in std_logic_vector(g_number_of_in_signals-1 downto 0);
    signals_i                       : in std_logic_vector(g_number_of_in_signals-1 downto 0);
    signals_o                       : out std_logic_vector(g_number_of_out_signals-1 downto 0)
    signals_o                       : out std_logic_vector(g_number_of_out_signals-1 downto 0)
    );
    );
end core_top;
end core_top;
 
 
--=architecture===============================================================
-- architecture ------------------------------------------------------
architecture rtl of core_top is
architecture rtl of core_top is
  --============================================================================
  ------------------------------------------------------------------------------
  -- signal declaration
  -- signal declaration
  --============================================================================
  ------------------------------------------------------------------------------
  signal    shift_register_r   : std_logic_vector (g_number_of_out_signals-1 downto 0);
  signal    shift_register_r   : std_logic_vector (g_number_of_out_signals-1 downto 0);
  signal    old_shift_clock_r  : std_logic := '0';
  signal    old_shift_clock_r  : std_logic := '0';
  --============================================================================
  ------------------------------------------------------------------------------
begin
begin
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  -- module instantiation
  -- module instantiation
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  proc_shift_register : process (all)
  proc_shift_register : process (all)
Line 99... Line 98...
      end if;
      end if;
    end process;
    end process;
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  signals_o <= shift_register_r;
  signals_o <= shift_register_r;
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
 
  ------------------------------------------------------------------------------
 
  ------------------------------------------------------------------------------
 
--============================================================================
 
end rtl; --core_top
 
--============================================================================
 
-- end of file
 
--============================================================================
 
 
 
 No newline at end of file
 No newline at end of file
 
end rtl;
 
----------------------------------------------------------------------
 
---- end of file                                                  ---- 
 
----------------------------------------------------------------------
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.