OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [core_top.vhd] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 61... Line 61...
use work.wishbone_pkg.all;
use work.wishbone_pkg.all;
 
 
-- entity ------------------------------------------------------------
-- entity ------------------------------------------------------------
entity core_top is
entity core_top is
  generic(
  generic(
    g_number_of_in_signals          : natural := 1;
    number_of_in_signals_g          : natural := 1;
    g_number_of_out_signals         : natural := 1
    number_of_out_signals_g         : natural := 1
    );
    );
  port(
  port(
    clock_i                         : in std_logic;
    clock_i                         : in std_logic;
    reset_i                         : in std_logic;
    reset_i                         : in std_logic;
    signals_i                       : in std_logic_vector(g_number_of_in_signals-1 downto 0);
    signals_i                       : in std_logic_vector(number_of_in_signals_g-1 downto 0);
    signals_o                       : out std_logic_vector(g_number_of_out_signals-1 downto 0)
    signals_o                       : out std_logic_vector(number_of_out_signals_g-1 downto 0)
    );
    );
end core_top;
end core_top;
 
 
-- architecture ------------------------------------------------------
-- architecture ------------------------------------------------------
architecture rtl of core_top is
architecture rtl of core_top is
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  -- signal declaration
  -- signal declaration
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  signal    shift_register_r   : std_logic_vector (g_number_of_out_signals-1 downto 0);
  signal    shift_register_r   : std_logic_vector (number_of_out_signals_g-1 downto 0);
  signal    old_shift_clock_r  : std_logic := '0';
  signal    old_shift_clock_r  : std_logic := '0';
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
begin
begin
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  -- module instantiation
  -- module instantiation

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.