OpenCores
URL https://opencores.org/ocsvn/zipcpu/zipcpu/trunk

Subversion Repositories zipcpu

[/] [zipcpu/] [trunk/] [bench/] [cpp/] [zippy_tb.cpp] - Diff between revs 187 and 197

Show entire file | Details | Blame | View Log

Rev 187 Rev 197
Line 790... Line 790...
                        printw("%08x", m_core->v__DOT__thecpu__DOT__alu_result);
                        printw("%08x", m_core->v__DOT__thecpu__DOT__alu_result);
                else
                else
                        printw("%8s","");
                        printw("%8s","");
                mvprintw(ln-1, 48, "%s%s%s ",
                mvprintw(ln-1, 48, "%s%s%s ",
                        (m_core->v__DOT__thecpu__DOT__alu_valid)?"A"
                        (m_core->v__DOT__thecpu__DOT__alu_valid)?"A"
                          :((m_core->v__DOT__thecpu__DOT__doalu__DOT__genblk2__DOT__r_busy)?"a":" "),
                          :((m_core->v__DOT__thecpu__DOT__doalu__DOT__r_busy)?"a":" "),
                        (m_core->v__DOT__thecpu__DOT__div_valid)?"D"
                        (m_core->v__DOT__thecpu__DOT__div_valid)?"D"
                          :((m_core->v__DOT__thecpu__DOT__div_busy)?"d":" "),
                          :((m_core->v__DOT__thecpu__DOT__div_busy)?"d":" "),
                        (m_core->v__DOT__thecpu__DOT__div_valid)?"F"
                        (m_core->v__DOT__thecpu__DOT__div_valid)?"F"
                          :((m_core->v__DOT__thecpu__DOT__div_busy)?"f":" "));
                          :((m_core->v__DOT__thecpu__DOT__div_busy)?"f":" "));
                printw("MEM: %s%s %s%s %s %-5s",
                printw("MEM: %s%s %s%s %s %-5s",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.