OpenCores
URL https://opencores.org/ocsvn/zx_ula/zx_ula/trunk

Subversion Repositories zx_ula

[/] [zx_ula/] [branches/] [xilinx/] [ulaplus_replacement-upgrade_for_sp16-48k/] [rtl_ulaplus/] [ulaplus_tld.v] - Diff between revs 26 and 29

Show entire file | Details | Blame | View Log

Rev 26 Rev 29
Line 57... Line 57...
         output [2:0] g,
         output [2:0] g,
         output [2:0] b,
         output [2:0] b,
         output csync
         output csync
    );
    );
 
 
        wire clk14;
        wire clk28;
        master_ula_clock clock14mhz (
        master_ula_clock clock28mhz (
    .CLKIN_IN(clk50),
    .CLKIN_IN(clk50),
    .CLKFX_OUT(clk14),
    .CLKFX_OUT(clk28),
    .CLKIN_IBUFG_OUT(),
    .CLKIN_IBUFG_OUT(),
    .CLK0_OUT()
    .CLK0_OUT()
    );
    );
 
 
   /////////////////////////////////////
   /////////////////////////////////////
Line 73... Line 73...
        wire ula_r,ula_g,ula_b,ula_i,ulaplus_enabled;
        wire ula_r,ula_g,ula_b,ula_i,ulaplus_enabled;
        wire mic,spk;
        wire mic,spk;
        wire [7:0] rgbulaplus;
        wire [7:0] rgbulaplus;
 
 
        ula the_ula (
        ula the_ula (
                .clk14(clk14),
                .clk28(clk28),
                .reset_n(reset_n),
                .reset_n(reset_n),
                .a15(a15),
                .a15(a15),
                .a14(a14),
                .a14(a14),
                .a7(a7),
                .a7(a7),
                .a6(a6),
                .a6(a6),
                .a2(a2),
                .a2(a2),
                .din(uladin),
                .d(d),
                .dout(uladout),
 
                .mreq_n(mreq_n),
                .mreq_n(mreq_n),
                .ioreq_n(ioreq_n),
                .ioreq_n(ioreq_n),
                .iorq_n(iorq_n),
                .iorq_n(iorq_n),
                .rd_n(rd_n),
                .rd_n(rd_n),
                .wr_n(wr_n),
                .wr_n(wr_n),
                .clkcpu(cpuclk_n),
                .clkcpu(cpuclk_n),
                .int_n(int_n),
                .int_n(int_n),
                .va(va),
                .va(va),
 
                .ras_n(ras_n),
 
                .cas_n(cas_n),
 
                .dramwe_n(dramwe_n),
                .ear(ear),
                .ear(ear),
                .mic(mic),
                .mic(mic),
                .spk(spk),
                .spk(spk),
                .kbd(kbd),
                .kbd(kbd),
                .r(ula_r),
                .r(ula_r),
Line 122... Line 124...
 
 
   /////////////////////////////////////
   /////////////////////////////////////
   // Audio mixer
   // Audio mixer
   /////////////////////////////////////        
   /////////////////////////////////////        
        mixer audio_mix (
        mixer audio_mix (
                .clkdac(clk14),
                .clkdac(clk28),
                .reset_n(reset_n),
                .reset_n(reset_n),
                .ear(ear),
                .ear(ear),
                .mic(mic),
                .mic(mic),
                .spk(spk),
                .spk(spk),
                .audio(audio_out)
                .audio(audio_out)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.