Single 14 Segment Display Driver with Limited ASCII Decoder  0.1
Signals | Instantiations
arch Architecture Reference

Signals

Q  std_logic_vector ( 13 downto 0 )
 Q represents the symbol's bit mapping overlay over the 14-segment display.

Instantiations

rom_decoding_table  decoder_table_dist_rom <Entity decoder_table_dist_rom>
 Decoding table handling all symbols except the DP.

The documentation for this class was generated from the following file: