Single 14 Segment Display Driver with Limited ASCII Decoder  0.1
Ports | Libraries | Use Clauses
ASCIIDecoder Entity Reference

Entities

arch  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 

Ports

clk   in std_logic
reset   in std_logic
ascii_in   in std_logic_vector ( 7 downto 0 )
disp_data   out std_logic_vector ( 13 downto 0 )

The documentation for this class was generated from the following file: