Single 14 Segment Display Driver with Limited ASCII Decoder  0.1
Ports | Libraries | Use Clauses
ASCIIDecoder Entity Reference
Inheritance diagram for ASCIIDecoder:
Inheritance graph
[legend]
Collaboration diagram for ASCIIDecoder:
Collaboration graph
[legend]

Entities

arch  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 

Ports

clk   in std_logic
reset   in std_logic
ascii_in   in std_logic_vector ( 7 downto 0 )
disp_data   out std_logic_vector ( 14 downto 0 )

Member Data Documentation

◆ ascii_in

ascii_in in std_logic_vector ( 7 downto 0 )
Port

ascii_in(7) represents the DP state so it is not decoded. Symbol codes from 0x00 to 0x7F are without DP lit. Symbol codes from 0x80 to 0xFF have DP lit.


The documentation for this class was generated from the following file: