# Copyright 2013, Sinclair R.F., Inc. # Test bench for the icomparison math library. ARCHITECTURE core/9x8 Verilog INSTRUCTION 1024 DATA_STACK 32 RETURN_STACK 32 PORTCOMMENT 8-bit test values OUTPORT 8-bit,strobe o_value,o_value_wr O_VALUE PORTCOMMENT termination strobe OUTPORT strobe o_terminate_str O_TERMINATE ASSEMBLY uc.s