URL
https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk
Subversion Repositories generic_parameterized_carry_lookahead_adder
[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [bench/] [tb.v] - Rev 6
Compare with Previous | Blame | View Log
module tb; reg [2:0]A,B; wire [2:0]S; reg Cin; wire Cout; carry_lookahead_adder #(.N(3)) DUT(.A(A), .B(B), .S(S), .Cout(Cout), .Cin(Cin)); task load(input [2:0]a,b, input c); begin A = a; B = b; Cin = c; end endtask integer i , j,k; initial begin $dumpfile ("carry_lookahead_adder.vcd"); $dumpvars (0, tb); for (k=0;k<2;k=k+1) begin for (i=0; i<8 ; i=i+1) begin for(j=0;j<8;j=j+1) begin load(i,j,k); #10; end end end $finish; end initial $monitor("A = %b, B = %b, Cin = %b, Cout = %b, Sum = %b",A,B,Cin,Cout,S); endmodule