OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [carry_generate.v] - Rev 6

Compare with Previous | Blame | View Log

module carry_generate(P,G,C,Cin);
    parameter N = 2;
    input [N-1:0]P,G;
  	input Cin;
    output [N:0]C;
    assign C[0] =Cin;
    genvar i;
  	generate for(i=1;i<=N;i=i+1) begin
        assign C[i] = G[i-1] | (P[i-1]&C[i-1]);
    end
    endgenerate
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.