OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [carry_lookahead_adder.v] - Rev 6

Compare with Previous | Blame | View Log

module carry_lookahead_adder(A,B,S,Cout,Cin);
    parameter N = 2;
 
    input [N-1:0]A,B;
    input Cin;
    output [N-1:0]S;
  	output Cout;
 
    wire [N-1:0]P, G ;
    wire [N:0]C;
    propagate_generate #(.N(N)) M1(.A(A), .B(B), .P(P), .G(G));
    carry_generate #(.N(N)) M2 (.P(P), .G(G), .C(C), .Cin(Cin));
 
    assign S = P ^ C;
    assign Cout = C[N];
 
endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.