OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [propagate_generate.v] - Rev 6

Compare with Previous | Blame | View Log

module propagate_generate(A,B,P,G);
    parameter N = 2;
    input [N-1 :0] A,B;
    output [N-1 :0]P,G;
 
    assign P = A^B;
    assign G = A&B;
 
endmodule
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.