OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [vhdl/] [readme] - Rev 76

Go to most recent revision | Compare with Previous | Blame | View Log



-- This code is provided for free and may be used and   --
-- distributed without restriction provided that the    --
-- copyright statement is not removed from the file and --
-- that any derivative work contains the original       --
-- copyright notice and the associated disclaimer.      --

--   Comments and suggestions are always welcome        --

The i2c_master core consists of three files:

- i2c_master_top        -- top level
- i2c_master_byte_ctrl  -- byte controller
- i2c_master_bit_ctrl   -- bit controller

VHDL needs to be compiled in order. The files are listed 
above in descending order.

I2C.VHD and tst_ds1621.vhd are not supported anymore.
They remain mostly for historical purposes, altough they
might prove usefull.

Richard Herveille
rherveille@opencores.org

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.