OpenCores
URL https://opencores.org/ocsvn/kvcordic/kvcordic/trunk

Subversion Repositories kvcordic

[/] [kvcordic/] [trunk/] [sim/] [rtl_sim/] [out/] [cordic_alg_test_results.txt] - Rev 2

Compare with Previous | Blame | View Log

 direction=0000 mode=0000 xin=26DD yin=0000 zin=0000 xout=3FFF xout_ref=3FFF yout=0002 yout_ref=0002 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0202 xout=3FF6 xout_ref=3FF6 yout=0204 yout_ref=0204 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0405 xout=3FE0 xout_ref=3FE0 yout=0408 yout_ref=0408 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0608 xout=3FB5 xout_ref=3FB5 yout=0606 yout_ref=0606 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=080A xout=3F7F xout_ref=3F7F yout=0808 yout_ref=0808 zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0A0D xout=3F37 xout_ref=3F37 yout=0A03 yout_ref=0A03 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0C10 xout=3EDE xout_ref=3EDE yout=0C01 yout_ref=0C01 zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=0E13 xout=3E74 xout_ref=3E74 yout=0DF6 yout_ref=0DF6 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1015 xout=3DFE xout_ref=3DFE yout=0FEE yout_ref=0FEE zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1218 xout=3D74 xout_ref=3D74 yout=11DC yout_ref=11DC zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=141B xout=3CDB xout_ref=3CDB yout=13CB yout_ref=13CB zout=FFFB zout_ref=FFFB
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=161D xout=3C37 xout_ref=3C37 yout=15AD yout_ref=15AD zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1820 xout=3B80 xout_ref=3B80 yout=1791 yout_ref=1791 zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1A23 xout=3ABC xout_ref=3ABC yout=196D yout_ref=196D zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1C26 xout=39E9 xout_ref=39E9 yout=1B42 yout_ref=1B42 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=1E28 xout=3907 xout_ref=3907 yout=1D10 yout_ref=1D10 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=202B xout=3812 xout_ref=3812 yout=1ED9 yout_ref=1ED9 zout=FFFD zout_ref=FFFD
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=222E xout=3716 xout_ref=3716 yout=2095 yout_ref=2095 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2430 xout=3608 xout_ref=3608 yout=2250 yout_ref=2250 zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2633 xout=34EC xout_ref=34EC yout=23FC yout_ref=23FC zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2836 xout=33C7 xout_ref=33C7 yout=259D yout_ref=259D zout=0004 zout_ref=0004
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2A39 xout=3295 xout_ref=3295 yout=2736 yout_ref=2736 zout=0007 zout_ref=0007
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2C3B xout=314F xout_ref=314F yout=28CD yout_ref=28CD zout=FFF9 zout_ref=FFF9
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=2E3E xout=3002 xout_ref=3002 yout=2A56 yout_ref=2A56 zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3041 xout=2EA6 xout_ref=2EA6 yout=2BD1 yout_ref=2BD1 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3243 xout=2D42 xout_ref=2D42 yout=2D41 yout_ref=2D41 zout=0001 zout_ref=0001
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3446 xout=2BCF xout_ref=2BCF yout=2EA8 yout_ref=2EA8 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3649 xout=2A56 xout_ref=2A56 yout=3002 yout_ref=3002 zout=0003 zout_ref=0003
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=384C xout=28CD xout_ref=28CD yout=314F yout_ref=314F zout=0008 zout_ref=0008
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3A4E xout=2736 xout_ref=2736 yout=3295 yout_ref=3295 zout=FFFA zout_ref=FFFA
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3C51 xout=259D xout_ref=259D yout=33C7 yout_ref=33C7 zout=FFFD zout_ref=FFFD
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=3E54 xout=23FA xout_ref=23FA yout=34EE yout_ref=34EE zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4056 xout=224C xout_ref=224C yout=360A yout_ref=360A zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4259 xout=2095 xout_ref=2095 yout=3716 yout_ref=3716 zout=0001 zout_ref=0001
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=445C xout=1ED3 xout_ref=1ED3 yout=3818 yout_ref=3818 zout=FFFC zout_ref=FFFC
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=465F xout=1D0E xout_ref=1D0E yout=3907 yout_ref=3907 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4861 xout=1B42 xout_ref=1B42 yout=39E9 yout_ref=39E9 zout=0001 zout_ref=0001
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4A64 xout=196B xout_ref=196B yout=3ABC yout_ref=3ABC zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4C67 xout=178B xout_ref=178B yout=3B82 yout_ref=3B82 zout=FFFD zout_ref=FFFD
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=4E6A xout=15AB xout_ref=15AB yout=3C37 yout_ref=3C37 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=506C xout=13C3 xout_ref=13C3 yout=3CDF yout_ref=3CDF zout=FFFC zout_ref=FFFC
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=526F xout=11DA xout_ref=11DA yout=3D74 yout_ref=3D74 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5472 xout=0FEA xout_ref=0FEA yout=3DFE yout_ref=3DFE zout=FFFE zout_ref=FFFE
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5674 xout=0DF4 xout_ref=0DF4 yout=3E74 yout_ref=3E74 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5877 xout=0BFB xout_ref=0BFB yout=3EE0 yout_ref=3EE0 zout=FFFD zout_ref=FFFD
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5A7A xout=0A01 xout_ref=0A01 yout=3F37 yout_ref=3F37 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5C7D xout=0804 xout_ref=0804 yout=3F7F yout_ref=3F7F zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=5E7F xout=0606 xout_ref=0606 yout=3FB5 yout_ref=3FB5 zout=0001 zout_ref=0001
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=6082 xout=0408 xout_ref=0408 yout=3FE0 yout_ref=3FE0 zout=0002 zout_ref=0002
CORDIC OK: Number of cycles=73
 direction=0000 mode=0000 xin=26DD yin=0000 zin=6285 xout=0202 xout_ref=0202 yout=3FF6 yout_ref=3FF6 zout=FFFF zout_ref=FFFF
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=0000 zin=0000 xout=0000 xout_ref=0000 yout=0000 yout_ref=0000 zout=6F84 zout_ref=6F84
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=01F4 zin=0000 xout=033D xout_ref=033D yout=FFFE yout_ref=FFFE zout=649A zout_ref=649A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=03E8 zin=0000 xout=066F xout_ref=066F yout=0000 yout_ref=0000 zout=648C zout_ref=648C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=05DC zin=0000 xout=09A7 xout_ref=09A7 yout=0001 yout_ref=0001 zout=648C zout_ref=648C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=07D0 zin=0000 xout=0CDE xout_ref=0CDE yout=0001 yout_ref=0001 zout=648C zout_ref=648C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=09C4 zin=0000 xout=1017 xout_ref=1017 yout=FFFF yout_ref=FFFF zout=648A zout_ref=648A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=0BB8 zin=0000 xout=134E xout_ref=134E yout=FFFF yout_ref=FFFF zout=648A zout_ref=648A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=0DAC zin=0000 xout=1683 xout_ref=1683 yout=0001 yout_ref=0001 zout=648C zout_ref=648C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=0FA0 zin=0000 xout=19BD xout_ref=19BD yout=FFFF yout_ref=FFFF zout=648A zout_ref=648A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=1194 zin=0000 xout=1CF3 xout_ref=1CF3 yout=0000 yout_ref=0000 zout=6486 zout_ref=6486
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=1388 zin=0000 xout=202D xout_ref=202D yout=0000 yout_ref=0000 zout=6484 zout_ref=6484
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=157C zin=0000 xout=2363 xout_ref=2363 yout=0000 yout_ref=0000 zout=6486 zout_ref=6486
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=1770 zin=0000 xout=269A xout_ref=269A yout=FFFF yout_ref=FFFF zout=648A zout_ref=648A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=1964 zin=0000 xout=29D0 xout_ref=29D0 yout=0000 yout_ref=0000 zout=6486 zout_ref=6486
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0000 yin=1B58 zin=0000 xout=2D09 xout_ref=2D09 yout=0000 yout_ref=0000 zout=6486 zout_ref=6486
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=0000 zin=0000 xout=033E xout_ref=033E yout=FFFE yout_ref=FFFE zout=FFFA zout_ref=FFFA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=01F4 zin=0000 xout=048F xout_ref=048F yout=0000 yout_ref=0000 zout=3248 zout_ref=3248
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=03E8 zin=0000 xout=0736 xout_ref=0736 yout=FFFF yout_ref=FFFF zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=05DC zin=0000 xout=0A31 xout_ref=0A31 yout=0001 yout_ref=0001 zout=4FE8 zout_ref=4FE8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=07D0 zin=0000 xout=0D47 xout_ref=0D47 yout=FFFF yout_ref=FFFF zout=54DC zout_ref=54DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=09C4 zin=0000 xout=106A xout_ref=106A yout=0000 yout_ref=0000 zout=57DE zout_ref=57DE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=0BB8 zin=0000 xout=1394 xout_ref=1394 yout=0000 yout_ref=0000 zout=59F4 zout_ref=59F4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=0DAC zin=0000 xout=16BF xout_ref=16BF yout=0000 yout_ref=0000 zout=5B70 zout_ref=5B70
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=0FA0 zin=0000 xout=19F0 xout_ref=19F0 yout=FFFE yout_ref=FFFE zout=5C96 zout_ref=5C96
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=1194 zin=0000 xout=1D21 xout_ref=1D21 yout=0001 yout_ref=0001 zout=5D70 zout_ref=5D70
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=1388 zin=0000 xout=2058 xout_ref=2058 yout=FFFF yout_ref=FFFF zout=5E2A zout_ref=5E2A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=157C zin=0000 xout=238A xout_ref=238A yout=0000 yout_ref=0000 zout=5EB8 zout_ref=5EB8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=1770 zin=0000 xout=26BC xout_ref=26BC yout=0000 yout_ref=0000 zout=5F36 zout_ref=5F36
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=1964 zin=0000 xout=29F7 xout_ref=29F7 yout=FFFF yout_ref=FFFF zout=5F9E zout_ref=5F9E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=01F4 yin=1B58 zin=0000 xout=2D29 xout_ref=2D29 yout=FFFF yout_ref=FFFF zout=5FFA zout_ref=5FFA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=0000 zin=0000 xout=0671 xout_ref=0671 yout=0000 yout_ref=0000 zout=0010 zout_ref=0010
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=01F4 zin=0000 xout=0736 xout_ref=0736 yout=FFFF yout_ref=FFFF zout=1DB2 zout_ref=1DB2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=03E8 zin=0000 xout=091D xout_ref=091D yout=FFFF yout_ref=FFFF zout=3240 zout_ref=3240
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=05DC zin=0000 xout=0B9B xout_ref=0B9B yout=0000 yout_ref=0000 zout=3EE6 zout_ref=3EE6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=07D0 zin=0000 xout=0E65 xout_ref=0E65 yout=FFFF yout_ref=FFFF zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=09C4 zin=0000 xout=1152 xout_ref=1152 yout=0001 yout_ref=0001 zout=4C2C zout_ref=4C2C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=0BB8 zin=0000 xout=1460 xout_ref=1460 yout=FFFE yout_ref=FFFE zout=4FF2 zout_ref=4FF2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=0DAC zin=0000 xout=176F xout_ref=176F yout=FFFF yout_ref=FFFF zout=52B6 zout_ref=52B6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=0FA0 zin=0000 xout=1A89 xout_ref=1A89 yout=0001 yout_ref=0001 zout=54D6 zout_ref=54D6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=1194 zin=0000 xout=1DAA xout_ref=1DAA yout=FFFF yout_ref=FFFF zout=568A zout_ref=568A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=1388 zin=0000 xout=20D0 xout_ref=20D0 yout=0000 yout_ref=0000 zout=57E2 zout_ref=57E2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=157C zin=0000 xout=23F8 xout_ref=23F8 yout=0000 yout_ref=0000 zout=5902 zout_ref=5902
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=1770 zin=0000 xout=2724 xout_ref=2724 yout=FFFF yout_ref=FFFF zout=59F4 zout_ref=59F4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=1964 zin=0000 xout=2A4F xout_ref=2A4F yout=FFFF yout_ref=FFFF zout=5AC2 zout_ref=5AC2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=03E8 yin=1B58 zin=0000 xout=2D7E xout_ref=2D7E yout=0001 yout_ref=0001 zout=5B70 zout_ref=5B70
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=0000 zin=0000 xout=09AD xout_ref=09AD yout=FFFF yout_ref=FFFF zout=FFFA zout_ref=FFFA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=01F4 zin=0000 xout=0A31 xout_ref=0A31 yout=0001 yout_ref=0001 zout=1490 zout_ref=1490
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=03E8 zin=0000 xout=0B9A xout_ref=0B9A yout=0000 yout_ref=0000 zout=25A8 zout_ref=25A8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=05DC zin=0000 xout=0DA7 xout_ref=0DA7 yout=0000 yout_ref=0000 zout=3248 zout_ref=3248
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=07D0 zin=0000 xout=101B xout_ref=101B yout=FFFF yout_ref=FFFF zout=3B58 zout_ref=3B58
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=09C4 zin=0000 xout=12C3 xout_ref=12C3 yout=0000 yout_ref=0000 zout=41F4 zout_ref=41F4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=0BB8 zin=0000 xout=1598 xout_ref=1598 yout=FFFF yout_ref=FFFF zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=0DAC zin=0000 xout=1881 xout_ref=1881 yout=FFFF yout_ref=FFFF zout=4AA0 zout_ref=4AA0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=0FA0 zin=0000 xout=1B7C xout_ref=1B7C yout=0001 yout_ref=0001 zout=4D90 zout_ref=4D90
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=1194 zin=0000 xout=1E8B xout_ref=1E8B yout=FFFD yout_ref=FFFD zout=4FF2 zout_ref=4FF2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=1388 zin=0000 xout=2198 xout_ref=2198 yout=FFFF yout_ref=FFFF zout=51E0 zout_ref=51E0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=157C zin=0000 xout=24AE xout_ref=24AE yout=0000 yout_ref=0000 zout=537C zout_ref=537C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=1770 zin=0000 xout=27CC xout_ref=27CC yout=FFFF yout_ref=FFFF zout=54DC zout_ref=54DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=1964 zin=0000 xout=2AEC xout_ref=2AEC yout=FFFF yout_ref=FFFF zout=5602 zout_ref=5602
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=05DC yin=1B58 zin=0000 xout=2E0E xout_ref=2E0E yout=0000 yout_ref=0000 zout=5702 zout_ref=5702
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=0000 zin=0000 xout=0CE3 xout_ref=0CE3 yout=FFFF yout_ref=FFFF zout=FFFA zout_ref=FFFA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=01F4 zin=0000 xout=0D46 xout_ref=0D46 yout=FFFF yout_ref=FFFF zout=0FB0 zout_ref=0FB0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=03E8 zin=0000 xout=0E64 xout_ref=0E64 yout=0001 yout_ref=0001 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=05DC zin=0000 xout=1017 xout_ref=1017 yout=FFFF yout_ref=FFFF zout=2932 zout_ref=2932
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=07D0 zin=0000 xout=1234 xout_ref=1234 yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=09C4 zin=0000 xout=149F xout_ref=149F yout=0000 yout_ref=0000 zout=3956 zout_ref=3956
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=0BB8 zin=0000 xout=1733 xout_ref=1733 yout=0000 yout_ref=0000 zout=3EE6 zout_ref=3EE6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=0DAC zin=0000 xout=19F3 xout_ref=19F3 yout=FFFE yout_ref=FFFE zout=434E zout_ref=434E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=0FA0 zin=0000 xout=1CC8 xout_ref=1CC8 yout=FFFE yout_ref=FFFE zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=1194 zin=0000 xout=1FB1 xout_ref=1FB1 yout=FFFF yout_ref=FFFF zout=49C2 zout_ref=49C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=1388 zin=0000 xout=22A4 xout_ref=22A4 yout=FFFF yout_ref=FFFF zout=4C2C zout_ref=4C2C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=157C zin=0000 xout=25AB xout_ref=25AB yout=FFFC yout_ref=FFFC zout=4E3C zout_ref=4E3C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=1770 zin=0000 xout=28B6 xout_ref=28B6 yout=FFFF yout_ref=FFFF zout=4FF2 zout_ref=4FF2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=1964 zin=0000 xout=2BC4 xout_ref=2BC4 yout=FFFE yout_ref=FFFE zout=516E zout_ref=516E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=07D0 yin=1B58 zin=0000 xout=2ED9 xout_ref=2ED9 yout=FFFF yout_ref=FFFF zout=52B8 zout_ref=52B8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=0000 zin=0000 xout=101B xout_ref=101B yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=01F4 zin=0000 xout=1066 xout_ref=1066 yout=0000 yout_ref=0000 zout=0CAA zout_ref=0CAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=03E8 zin=0000 xout=115A xout_ref=115A yout=FFFF yout_ref=FFFF zout=185A zout_ref=185A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=05DC zin=0000 xout=12C5 xout_ref=12C5 yout=0000 yout_ref=0000 zout=2294 zout_ref=2294
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=07D0 zin=0000 xout=1498 xout_ref=1498 yout=0000 yout_ref=0000 zout=2B32 zout_ref=2B32
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=09C4 zin=0000 xout=16C1 xout_ref=16C1 yout=FFFF yout_ref=FFFF zout=3246 zout_ref=3246
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=0BB8 zin=0000 xout=1922 xout_ref=1922 yout=FFFF yout_ref=FFFF zout=3810 zout_ref=3810
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=0DAC zin=0000 xout=1BAF xout_ref=1BAF yout=0000 yout_ref=0000 zout=3CD4 zout_ref=3CD4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=0FA0 zin=0000 xout=1E5E xout_ref=1E5E yout=0000 yout_ref=0000 zout=40C4 zout_ref=40C4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=1194 zin=0000 xout=211D xout_ref=211D yout=FFFF yout_ref=FFFF zout=4412 zout_ref=4412
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=1388 zin=0000 xout=23FA xout_ref=23FA yout=0000 yout_ref=0000 zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=157C zin=0000 xout=26E2 xout_ref=26E2 yout=FFFE yout_ref=FFFE zout=493E zout_ref=493E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=1770 zin=0000 xout=29D2 xout_ref=29D2 yout=FFFF yout_ref=FFFF zout=4B44 zout_ref=4B44
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=1964 zin=0000 xout=2CCE xout_ref=2CCE yout=FFFF yout_ref=FFFF zout=4D0A zout_ref=4D0A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=09C4 yin=1B58 zin=0000 xout=2FD1 xout_ref=2FD1 yout=0002 yout_ref=0002 zout=4E90 zout_ref=4E90
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=0000 zin=0000 xout=1351 xout_ref=1351 yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=01F4 zin=0000 xout=1393 xout_ref=1393 yout=0000 yout_ref=0000 zout=0A94 zout_ref=0A94
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=03E8 zin=0000 xout=1460 xout_ref=1460 yout=FFFE yout_ref=FFFE zout=149A zout_ref=149A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=05DC zin=0000 xout=1596 xout_ref=1596 yout=0001 yout_ref=0001 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=07D0 zin=0000 xout=1735 xout_ref=1735 yout=0000 yout_ref=0000 zout=25A2 zout_ref=25A2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=09C4 zin=0000 xout=1920 xout_ref=1920 yout=0001 yout_ref=0001 zout=2C76 zout_ref=2C76
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=0BB8 zin=0000 xout=1B4D xout_ref=1B4D yout=FFFF yout_ref=FFFF zout=3246 zout_ref=3246
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=0DAC zin=0000 xout=1DAB xout_ref=1DAB yout=FFFE yout_ref=FFFE zout=3730 zout_ref=3730
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=0FA0 zin=0000 xout=202E xout_ref=202E yout=0000 yout_ref=0000 zout=3B5A zout_ref=3B5A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=1194 zin=0000 xout=22CE xout_ref=22CE yout=0000 yout_ref=0000 zout=3EE4 zout_ref=3EE4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=1388 zin=0000 xout=2584 xout_ref=2584 yout=FFFF yout_ref=FFFF zout=41F2 zout_ref=41F2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=157C zin=0000 xout=2850 xout_ref=2850 yout=FFFF yout_ref=FFFF zout=4494 zout_ref=4494
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=1770 zin=0000 xout=2B2A xout_ref=2B2A yout=0000 yout_ref=0000 zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=1964 zin=0000 xout=2E12 xout_ref=2E12 yout=0000 yout_ref=0000 zout=48DC zout_ref=48DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0BB8 yin=1B58 zin=0000 xout=3100 xout_ref=3100 yout=0001 yout_ref=0001 zout=4A9C zout_ref=4A9C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=0000 zin=0000 xout=1689 xout_ref=1689 yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=01F4 zin=0000 xout=16C1 xout_ref=16C1 yout=0000 yout_ref=0000 zout=0918 zout_ref=0918
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=03E8 zin=0000 xout=176B xout_ref=176B yout=FFFF yout_ref=FFFF zout=11D4 zout_ref=11D4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=05DC zin=0000 xout=1881 xout_ref=1881 yout=FFFF yout_ref=FFFF zout=19EA zout_ref=19EA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=07D0 zin=0000 xout=19F0 xout_ref=19F0 yout=FFFE yout_ref=FFFE zout=213E zout_ref=213E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=09C4 zin=0000 xout=1BAB xout_ref=1BAB yout=0000 yout_ref=0000 zout=27B4 zout_ref=27B4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=0BB8 zin=0000 xout=1DA9 xout_ref=1DA9 yout=0002 yout_ref=0002 zout=2D56 zout_ref=2D56
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=0DAC zin=0000 xout=1FD8 xout_ref=1FD8 yout=0000 yout_ref=0000 zout=3248 zout_ref=3248
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=0FA0 zin=0000 xout=2235 xout_ref=2235 yout=FFFF yout_ref=FFFF zout=3688 zout_ref=3688
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=1194 zin=0000 xout=24AE xout_ref=24AE yout=0000 yout_ref=0000 zout=3A36 zout_ref=3A36
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=1388 zin=0000 xout=2747 xout_ref=2747 yout=0000 yout_ref=0000 zout=3D72 zout_ref=3D72
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=157C zin=0000 xout=29F7 xout_ref=29F7 yout=FFFF yout_ref=FFFF zout=4044 zout_ref=4044
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=1770 zin=0000 xout=2CB5 xout_ref=2CB5 yout=FFFE yout_ref=FFFE zout=42C0 zout_ref=42C0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=1964 zin=0000 xout=2F81 xout_ref=2F81 yout=FFFE yout_ref=FFFE zout=44EC zout_ref=44EC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0DAC yin=1B58 zin=0000 xout=325C xout_ref=325C yout=FFFF yout_ref=FFFF zout=46DC zout_ref=46DC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=0000 zin=0000 xout=19C0 xout_ref=19C0 yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=01F4 zin=0000 xout=19F3 xout_ref=19F3 yout=FFFE yout_ref=FFFE zout=07F6 zout_ref=07F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=03E8 zin=0000 xout=1A88 xout_ref=1A88 yout=FFFE yout_ref=FFFE zout=0FB0 zout_ref=0FB0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=05DC zin=0000 xout=1B80 xout_ref=1B80 yout=FFFF yout_ref=FFFF zout=16F6 zout_ref=16F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=07D0 zin=0000 xout=1CC7 xout_ref=1CC7 yout=0001 yout_ref=0001 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=09C4 zin=0000 xout=1E58 xout_ref=1E58 yout=FFFF yout_ref=FFFF zout=23C2 zout_ref=23C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=0BB8 zin=0000 xout=202D xout_ref=202D yout=0000 yout_ref=0000 zout=292C zout_ref=292C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=0DAC zin=0000 xout=2233 xout_ref=2233 yout=FFFF yout_ref=FFFF zout=2E02 zout_ref=2E02
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=0FA0 zin=0000 xout=2466 xout_ref=2466 yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=1194 zin=0000 xout=26BD xout_ref=26BD yout=0000 yout_ref=0000 zout=3606 zout_ref=3606
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=1388 zin=0000 xout=2936 xout_ref=2936 yout=FFFF yout_ref=FFFF zout=395A zout_ref=395A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=157C zin=0000 xout=2BBF xout_ref=2BBF yout=0004 yout_ref=0004 zout=3C42 zout_ref=3C42
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=1770 zin=0000 xout=2E65 xout_ref=2E65 yout=FFFF yout_ref=FFFF zout=3EE6 zout_ref=3EE6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=1964 zin=0000 xout=311B xout_ref=311B yout=0002 yout_ref=0002 zout=4134 zout_ref=4134
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=0FA0 yin=1B58 zin=0000 xout=33E1 xout_ref=33E1 yout=0000 yout_ref=0000 zout=434E zout_ref=434E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=0000 zin=0000 xout=1CF6 xout_ref=1CF6 yout=0000 yout_ref=0000 zout=0002 zout_ref=0002
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=01F4 zin=0000 xout=1D24 xout_ref=1D24 yout=FFFF yout_ref=FFFF zout=0716 zout_ref=0716
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=03E8 zin=0000 xout=1DAA xout_ref=1DAA yout=0001 yout_ref=0001 zout=0DFC zout_ref=0DFC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=05DC zin=0000 xout=1E8B xout_ref=1E8B yout=FFFD yout_ref=FFFD zout=149A zout_ref=149A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=07D0 zin=0000 xout=1FAF xout_ref=1FAF yout=FFFF yout_ref=FFFF zout=1AC8 zout_ref=1AC8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=09C4 zin=0000 xout=2121 xout_ref=2121 yout=FFFF yout_ref=FFFF zout=2076 zout_ref=2076
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=0BB8 zin=0000 xout=22CE xout_ref=22CE yout=0000 yout_ref=0000 zout=25A2 zout_ref=25A2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=0DAC zin=0000 xout=24B1 xout_ref=24B1 yout=FFFF yout_ref=FFFF zout=2A50 zout_ref=2A50
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=0FA0 zin=0000 xout=26BE xout_ref=26BE yout=FFFF yout_ref=FFFF zout=2E84 zout_ref=2E84
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=1194 zin=0000 xout=28F3 xout_ref=28F3 yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=1388 zin=0000 xout=2B4A xout_ref=2B4A yout=0000 yout_ref=0000 zout=35A2 zout_ref=35A2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=157C zin=0000 xout=2DB9 xout_ref=2DB9 yout=0000 yout_ref=0000 zout=38A4 zout_ref=38A4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=1770 zin=0000 xout=3043 xout_ref=3043 yout=FFFF yout_ref=FFFF zout=3B5A zout_ref=3B5A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=1964 zin=0000 xout=32DB xout_ref=32DB yout=0000 yout_ref=0000 zout=3DC4 zout_ref=3DC4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1194 yin=1B58 zin=0000 xout=358A xout_ref=358A yout=0000 yout_ref=0000 zout=3FF2 zout_ref=3FF2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=0000 zin=0000 xout=202E xout_ref=202E yout=0000 yout_ref=0000 zout=0002 zout_ref=0002
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=01F4 zin=0000 xout=205A xout_ref=205A yout=FFFF yout_ref=FFFF zout=0668 zout_ref=0668
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=03E8 zin=0000 xout=20CE xout_ref=20CE yout=0000 yout_ref=0000 zout=0CA4 zout_ref=0CA4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=05DC zin=0000 xout=2195 xout_ref=2195 yout=FFFF yout_ref=FFFF zout=12A8 zout_ref=12A8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=07D0 zin=0000 xout=22AD xout_ref=22AD yout=0000 yout_ref=0000 zout=185A zout_ref=185A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=09C4 zin=0000 xout=23F8 xout_ref=23F8 yout=0000 yout_ref=0000 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=0BB8 zin=0000 xout=2585 xout_ref=2585 yout=FFFF yout_ref=FFFF zout=2298 zout_ref=2298
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=0DAC zin=0000 xout=2745 xout_ref=2745 yout=0000 yout_ref=0000 zout=2714 zout_ref=2714
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=0FA0 zin=0000 xout=2931 xout_ref=2931 yout=FFFF yout_ref=FFFF zout=2B30 zout_ref=2B30
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=1194 zin=0000 xout=2B46 xout_ref=2B46 yout=0001 yout_ref=0001 zout=2EE4 zout_ref=2EE4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=1388 zin=0000 xout=2D7F xout_ref=2D7F yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=157C zin=0000 xout=2FD6 xout_ref=2FD6 yout=FFFA yout_ref=FFFA zout=3556 zout_ref=3556
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=1770 zin=0000 xout=3241 xout_ref=3241 yout=0000 yout_ref=0000 zout=3810 zout_ref=3810
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=1964 zin=0000 xout=34C6 xout_ref=34C6 yout=0000 yout_ref=0000 zout=3A92 zout_ref=3A92
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1388 yin=1B58 zin=0000 xout=375A xout_ref=375A yout=FFFE yout_ref=FFFE zout=3CD8 zout_ref=3CD8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=0000 zin=0000 xout=2366 xout_ref=2366 yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=01F4 zin=0000 xout=2389 xout_ref=2389 yout=0000 yout_ref=0000 zout=05CE zout_ref=05CE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=03E8 zin=0000 xout=23FA xout_ref=23FA yout=0000 yout_ref=0000 zout=0B84 zout_ref=0B84
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=05DC zin=0000 xout=24AE xout_ref=24AE yout=FFFF yout_ref=FFFF zout=110C zout_ref=110C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=07D0 zin=0000 xout=25AD xout_ref=25AD yout=FFFC yout_ref=FFFC zout=165A zout_ref=165A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=09C4 zin=0000 xout=26DD xout_ref=26DD yout=0002 yout_ref=0002 zout=1B48 zout_ref=1B48
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=0BB8 zin=0000 xout=284E xout_ref=284E yout=FFFF yout_ref=FFFF zout=1FF6 zout_ref=1FF6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=0DAC zin=0000 xout=29F7 xout_ref=29F7 yout=FFFF yout_ref=FFFF zout=2446 zout_ref=2446
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=0FA0 zin=0000 xout=2BC6 xout_ref=2BC6 yout=FFFC yout_ref=FFFC zout=2844 zout_ref=2844
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=1194 zin=0000 xout=2DB6 xout_ref=2DB6 yout=0000 yout_ref=0000 zout=2BE2 zout_ref=2BE2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=1388 zin=0000 xout=2FD3 xout_ref=2FD3 yout=FFFA yout_ref=FFFA zout=2F3E zout_ref=2F3E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=157C zin=0000 xout=320C xout_ref=320C yout=0000 yout_ref=0000 zout=3240 zout_ref=3240
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=1770 zin=0000 xout=345F xout_ref=345F yout=FFFF yout_ref=FFFF zout=350A zout_ref=350A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=1964 zin=0000 xout=36C9 xout_ref=36C9 yout=0000 yout_ref=0000 zout=3794 zout_ref=3794
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=157C yin=1B58 zin=0000 xout=3947 xout_ref=3947 yout=FFFF yout_ref=FFFF zout=39E8 zout_ref=39E8
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=0000 zin=0000 xout=269D xout_ref=269D yout=FFFF yout_ref=FFFF zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=01F4 zin=0000 xout=26BE xout_ref=26BE yout=0000 yout_ref=0000 zout=0550 zout_ref=0550
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=03E8 zin=0000 xout=2722 xout_ref=2722 yout=FFFF yout_ref=FFFF zout=0A94 zout_ref=0A94
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=05DC zin=0000 xout=27CA xout_ref=27CA yout=0000 yout_ref=0000 zout=0FAA zout_ref=0FAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=07D0 zin=0000 xout=28B6 xout_ref=28B6 yout=FFFF yout_ref=FFFF zout=149A zout_ref=149A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=09C4 zin=0000 xout=29D1 xout_ref=29D1 yout=FFFF yout_ref=FFFF zout=1944 zout_ref=1944
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=0BB8 zin=0000 xout=2B29 xout_ref=2B29 yout=0000 yout_ref=0000 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=0DAC zin=0000 xout=2CAF xout_ref=2CAF yout=0002 yout_ref=0002 zout=21C6 zout_ref=21C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=0FA0 zin=0000 xout=2E67 xout_ref=2E67 yout=FFFF yout_ref=FFFF zout=25A2 zout_ref=25A2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=1194 zin=0000 xout=3040 xout_ref=3040 yout=FFFF yout_ref=FFFF zout=292E zout_ref=292E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=1388 zin=0000 xout=3240 xout_ref=3240 yout=FFFF yout_ref=FFFF zout=2C76 zout_ref=2C76
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=157C zin=0000 xout=345E xout_ref=345E yout=FFFF yout_ref=FFFF zout=2F7E zout_ref=2F7E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=1770 zin=0000 xout=3697 xout_ref=3697 yout=0001 yout_ref=0001 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=1964 zin=0000 xout=38EE xout_ref=38EE yout=FFFF yout_ref=FFFF zout=34D6 zout_ref=34D6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1770 yin=1B58 zin=0000 xout=3B52 xout_ref=3B52 yout=FFFD yout_ref=FFFD zout=3730 zout_ref=3730
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=0000 zin=0000 xout=29D4 xout_ref=29D4 yout=0000 yout_ref=0000 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=01F4 zin=0000 xout=29F7 xout_ref=29F7 yout=FFFF yout_ref=FFFF zout=04EC zout_ref=04EC
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=03E8 zin=0000 xout=2A51 xout_ref=2A51 yout=0000 yout_ref=0000 zout=09C4 zout_ref=09C4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=05DC zin=0000 xout=2AEB xout_ref=2AEB yout=FFFF yout_ref=FFFF zout=0E88 zout_ref=0E88
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=07D0 zin=0000 xout=2BC0 xout_ref=2BC0 yout=0002 yout_ref=0002 zout=1318 zout_ref=1318
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=09C4 zin=0000 xout=2CD0 xout_ref=2CD0 yout=FFFF yout_ref=FFFF zout=177E zout_ref=177E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=0BB8 zin=0000 xout=2E0E xout_ref=2E0E yout=0000 yout_ref=0000 zout=1BAA zout_ref=1BAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=0DAC zin=0000 xout=2F81 xout_ref=2F81 yout=FFFE yout_ref=FFFE zout=1FA0 zout_ref=1FA0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=0FA0 zin=0000 xout=311D xout_ref=311D yout=FFFE yout_ref=FFFE zout=2352 zout_ref=2352
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=1194 zin=0000 xout=32E0 xout_ref=32E0 yout=0000 yout_ref=0000 zout=26C2 zout_ref=26C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=1388 zin=0000 xout=34C0 xout_ref=34C0 yout=0000 yout_ref=0000 zout=29F4 zout_ref=29F4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=157C zin=0000 xout=36C8 xout_ref=36C8 yout=0000 yout_ref=0000 zout=2CF2 zout_ref=2CF2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=1770 zin=0000 xout=38E6 xout_ref=38E6 yout=0001 yout_ref=0001 zout=2FB0 zout_ref=2FB0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=1964 zin=0000 xout=3B24 xout_ref=3B24 yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1964 yin=1B58 zin=0000 xout=3D79 xout_ref=3D79 yout=FFFF yout_ref=FFFF zout=34A4 zout_ref=34A4
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=0000 zin=0000 xout=2D0C xout_ref=2D0C yout=0000 yout_ref=0000 zout=0000 zout_ref=0000
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=01F4 zin=0000 xout=2D25 xout_ref=2D25 yout=0001 yout_ref=0001 zout=048C zout_ref=048C
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=03E8 zin=0000 xout=2D81 xout_ref=2D81 yout=FFFF yout_ref=FFFF zout=0916 zout_ref=0916
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=05DC zin=0000 xout=2E12 xout_ref=2E12 yout=0000 yout_ref=0000 zout=0D84 zout_ref=0D84
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=07D0 zin=0000 xout=2ED5 xout_ref=2ED5 yout=FFFF yout_ref=FFFF zout=11D0 zout_ref=11D0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=09C4 zin=0000 xout=2FD5 xout_ref=2FD5 yout=FFFF yout_ref=FFFF zout=15F6 zout_ref=15F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=0BB8 zin=0000 xout=3100 xout_ref=3100 yout=FFFF yout_ref=FFFF zout=19EA zout_ref=19EA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=0DAC zin=0000 xout=3259 xout_ref=3259 yout=0000 yout_ref=0000 zout=1DAA zout_ref=1DAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=0FA0 zin=0000 xout=33DE xout_ref=33DE yout=0000 yout_ref=0000 zout=2138 zout_ref=2138
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=1194 zin=0000 xout=358B xout_ref=358B yout=0000 yout_ref=0000 zout=2494 zout_ref=2494
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=1388 zin=0000 xout=3757 xout_ref=3757 yout=FFFE yout_ref=FFFE zout=27B2 zout_ref=27B2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=157C zin=0000 xout=3946 xout_ref=3946 yout=FFFF yout_ref=FFFF zout=2AA0 zout_ref=2AA0
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=1770 zin=0000 xout=3B53 xout_ref=3B53 yout=FFFD yout_ref=FFFD zout=2D5E zout_ref=2D5E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=1964 zin=0000 xout=3D73 xout_ref=3D73 yout=0002 yout_ref=0002 zout=2FE2 zout_ref=2FE2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0000 xin=1B58 yin=1B58 zin=0000 xout=3FB0 xout_ref=3FB0 yout=0000 yout_ref=0000 zout=3242 zout_ref=3242
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=1064 yin=F064 zin=0000 xout=054B xout_ref=054B yout=FCA2 yout_ref=FCA2 zout=B875 zout_ref=B875
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=10C8 yin=F0C8 zin=0000 xout=0647 xout_ref=0647 yout=FD9F yout_ref=FD9F zout=B875 zout_ref=B875
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=112C yin=F12C zin=0000 xout=0745 xout_ref=0745 yout=FE9C yout_ref=FE9C zout=B875 zout_ref=B875
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1190 yin=F190 zin=0000 xout=0840 xout_ref=0840 yout=FF9B yout_ref=FF9B zout=B875 zout_ref=B875
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=11F4 yin=F1F4 zin=0000 xout=093C xout_ref=093C yout=0000 yout_ref=0000 zout=BCA5 zout_ref=BCA5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1258 yin=F258 zin=0000 xout=0A1D xout_ref=0A1D yout=FFFF yout_ref=FFFF zout=C287 zout_ref=C287
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=12BC yin=F2BC zin=0000 xout=0AEE xout_ref=0AEE yout=FFFE yout_ref=FFFE zout=C777 zout_ref=C777
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1320 yin=F320 zin=0000 xout=0BB1 xout_ref=0BB1 yout=FFFF yout_ref=FFFF zout=CBB9 zout_ref=CBB9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1384 yin=F384 zin=0000 xout=0C66 xout_ref=0C66 yout=FFFE yout_ref=FFFE zout=CF89 zout_ref=CF89
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=13E8 yin=F3E8 zin=0000 xout=0D16 xout_ref=0D16 yout=0000 yout_ref=0000 zout=D2EB zout_ref=D2EB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=144C yin=F44C zin=0000 xout=0DB8 xout_ref=0DB8 yout=FFFF yout_ref=FFFF zout=D5EB zout_ref=D5EB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=14B0 yin=F4B0 zin=0000 xout=0E52 xout_ref=0E52 yout=FFFF yout_ref=FFFF zout=D8AD zout_ref=D8AD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1514 yin=F514 zin=0000 xout=0EE9 xout_ref=0EE9 yout=FFFD yout_ref=FFFD zout=DB4D zout_ref=DB4D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1578 yin=F578 zin=0000 xout=0F7B xout_ref=0F7B yout=0001 yout_ref=0001 zout=DDA5 zout_ref=DDA5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=15DC yin=F5DC zin=0000 xout=1006 xout_ref=1006 yout=FFFF yout_ref=FFFF zout=DFDD zout_ref=DFDD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1640 yin=F640 zin=0000 xout=108B xout_ref=108B yout=0000 yout_ref=0000 zout=E1EF zout_ref=E1EF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=16A4 yin=F6A4 zin=0000 xout=1111 xout_ref=1111 yout=0000 yout_ref=0000 zout=E3DF zout_ref=E3DF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1708 yin=F708 zin=0000 xout=118B xout_ref=118B yout=FFFF yout_ref=FFFF zout=E5AF zout_ref=E5AF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=176C yin=F76C zin=0000 xout=1209 xout_ref=1209 yout=0000 yout_ref=0000 zout=E76F zout_ref=E76F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=17D0 yin=F7D0 zin=0000 xout=1282 xout_ref=1282 yout=FFFF yout_ref=FFFF zout=E917 zout_ref=E917
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1834 yin=F834 zin=0000 xout=12F9 xout_ref=12F9 yout=0000 yout_ref=0000 zout=EAA9 zout_ref=EAA9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1898 yin=F898 zin=0000 xout=136B xout_ref=136B yout=0000 yout_ref=0000 zout=EC29 zout_ref=EC29
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=18FC yin=F8FC zin=0000 xout=13D8 xout_ref=13D8 yout=0000 yout_ref=0000 zout=ED89 zout_ref=ED89
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1960 yin=F960 zin=0000 xout=1447 xout_ref=1447 yout=0000 yout_ref=0000 zout=EEE9 zout_ref=EEE9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=19C4 yin=F9C4 zin=0000 xout=14AE xout_ref=14AE yout=FFFD yout_ref=FFFD zout=F039 zout_ref=F039
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1A28 yin=FA28 zin=0000 xout=151B xout_ref=151B yout=0000 yout_ref=0000 zout=F17B zout_ref=F17B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1A8C yin=FA8C zin=0000 xout=1584 xout_ref=1584 yout=0000 yout_ref=0000 zout=F2B3 zout_ref=F2B3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1AF0 yin=FAF0 zin=0000 xout=15E8 xout_ref=15E8 yout=0000 yout_ref=0000 zout=F3DB zout_ref=F3DB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1B54 yin=FB54 zin=0000 xout=164E xout_ref=164E yout=0002 yout_ref=0002 zout=F4FB zout_ref=F4FB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1BB8 yin=FBB8 zin=0000 xout=16AA xout_ref=16AA yout=FFFF yout_ref=FFFF zout=F60B zout_ref=F60B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1C1C yin=FC1C zin=0000 xout=170B xout_ref=170B yout=FFFE yout_ref=FFFE zout=F71B zout_ref=F71B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1C80 yin=FC80 zin=0000 xout=1769 xout_ref=1769 yout=FFFF yout_ref=FFFF zout=F81B zout_ref=F81B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1CE4 yin=FCE4 zin=0000 xout=17C8 xout_ref=17C8 yout=FFFF yout_ref=FFFF zout=F91B zout_ref=F91B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1D48 yin=FD48 zin=0000 xout=1823 xout_ref=1823 yout=0000 yout_ref=0000 zout=FA0D zout_ref=FA0D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1DAC yin=FDAC zin=0000 xout=1880 xout_ref=1880 yout=0001 yout_ref=0001 zout=FAFD zout_ref=FAFD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1E10 yin=FE10 zin=0000 xout=18D4 xout_ref=18D4 yout=FFFF yout_ref=FFFF zout=FBDD zout_ref=FBDD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1E74 yin=FE74 zin=0000 xout=192E xout_ref=192E yout=0000 yout_ref=0000 zout=FCC5 zout_ref=FCC5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1ED8 yin=FED8 zin=0000 xout=1982 xout_ref=1982 yout=FFFE yout_ref=FFFE zout=FD9D zout_ref=FD9D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1F3C yin=FF3C zin=0000 xout=19D9 xout_ref=19D9 yout=FFFE yout_ref=FFFE zout=FE6D zout_ref=FE6D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=1FA0 yin=FFA0 zin=0000 xout=1A2B xout_ref=1A2B yout=FFFE yout_ref=FFFE zout=FF3D zout_ref=FF3D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2004 yin=0004 zin=0000 xout=1A7B xout_ref=1A7B yout=FFFD yout_ref=FFFD zout=0003 zout_ref=0003
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2068 yin=0068 zin=0000 xout=1ACD xout_ref=1ACD yout=FFFE yout_ref=FFFE zout=00C3 zout_ref=00C3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=20CC yin=00CC zin=0000 xout=1B1E xout_ref=1B1E yout=FFFF yout_ref=FFFF zout=0183 zout_ref=0183
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2130 yin=0130 zin=0000 xout=1B71 xout_ref=1B71 yout=FFFF yout_ref=FFFF zout=0243 zout_ref=0243
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2194 yin=0194 zin=0000 xout=1BC2 xout_ref=1BC2 yout=0000 yout_ref=0000 zout=0303 zout_ref=0303
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=21F8 yin=01F8 zin=0000 xout=1C13 xout_ref=1C13 yout=0000 yout_ref=0000 zout=03BB zout_ref=03BB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=225C yin=025C zin=0000 xout=1C5E xout_ref=1C5E yout=FFFE yout_ref=FFFE zout=0463 zout_ref=0463
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=22C0 yin=02C0 zin=0000 xout=1CAA xout_ref=1CAA yout=FFFE yout_ref=FFFE zout=0513 zout_ref=0513
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2324 yin=0324 zin=0000 xout=1CFB xout_ref=1CFB yout=0000 yout_ref=0000 zout=05C3 zout_ref=05C3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2388 yin=0388 zin=0000 xout=1D46 xout_ref=1D46 yout=0002 yout_ref=0002 zout=0663 zout_ref=0663
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=23EC yin=03EC zin=0000 xout=1D8F xout_ref=1D8F yout=0001 yout_ref=0001 zout=0705 zout_ref=0705
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2450 yin=0450 zin=0000 xout=1DD9 xout_ref=1DD9 yout=0002 yout_ref=0002 zout=07A5 zout_ref=07A5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=24B4 yin=04B4 zin=0000 xout=1E23 xout_ref=1E23 yout=0000 yout_ref=0000 zout=0845 zout_ref=0845
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2518 yin=0518 zin=0000 xout=1E69 xout_ref=1E69 yout=0001 yout_ref=0001 zout=08D5 zout_ref=08D5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=257C yin=057C zin=0000 xout=1EAF xout_ref=1EAF yout=FFFF yout_ref=FFFF zout=096D zout_ref=096D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=25E0 yin=05E0 zin=0000 xout=1EF7 xout_ref=1EF7 yout=FFFC yout_ref=FFFC zout=0A05 zout_ref=0A05
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2644 yin=0644 zin=0000 xout=1F42 xout_ref=1F42 yout=0001 yout_ref=0001 zout=0A95 zout_ref=0A95
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=26A8 yin=06A8 zin=0000 xout=1F87 xout_ref=1F87 yout=0002 yout_ref=0002 zout=0B25 zout_ref=0B25
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=270C yin=070C zin=0000 xout=1FC9 xout_ref=1FC9 yout=FFFF yout_ref=FFFF zout=0BAD zout_ref=0BAD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2770 yin=0770 zin=0000 xout=2010 xout_ref=2010 yout=FFFF yout_ref=FFFF zout=0C39 zout_ref=0C39
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=27D4 yin=07D4 zin=0000 xout=2056 xout_ref=2056 yout=0000 yout_ref=0000 zout=0CC1 zout_ref=0CC1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2838 yin=0838 zin=0000 xout=2094 xout_ref=2094 yout=0000 yout_ref=0000 zout=0D45 zout_ref=0D45
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=289C yin=089C zin=0000 xout=20D8 xout_ref=20D8 yout=FFFF yout_ref=FFFF zout=0DC9 zout_ref=0DC9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2900 yin=0900 zin=0000 xout=211C xout_ref=211C yout=0000 yout_ref=0000 zout=0E49 zout_ref=0E49
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2964 yin=0964 zin=0000 xout=2159 xout_ref=2159 yout=FFFC yout_ref=FFFC zout=0EC7 zout_ref=0EC7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=29C8 yin=09C8 zin=0000 xout=21A0 xout_ref=21A0 yout=0000 yout_ref=0000 zout=0F43 zout_ref=0F43
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2A2C yin=0A2C zin=0000 xout=21E2 xout_ref=21E2 yout=FFFF yout_ref=FFFF zout=0FC3 zout_ref=0FC3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2A90 yin=0A90 zin=0000 xout=2223 xout_ref=2223 yout=0000 yout_ref=0000 zout=103B zout_ref=103B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2AF4 yin=0AF4 zin=0000 xout=2264 xout_ref=2264 yout=FFFF yout_ref=FFFF zout=10B3 zout_ref=10B3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0002 xin=2B58 yin=0B58 zin=0000 xout=22A3 xout_ref=22A3 yout=FFFF yout_ref=FFFF zout=1127 zout_ref=1127
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=7D00 yin=4000 zin=0000 xout=7D00 xout_ref=7D00 yout=FFFD yout_ref=FFFD zout=20C6 zout_ref=20C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7C06 yin=4000 zin=0000 xout=7C06 xout_ref=7C06 yout=0000 yout_ref=0000 zout=2106 zout_ref=2106
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7B0C yin=4000 zin=0000 xout=7B0C xout_ref=7B0C yout=FFFD yout_ref=FFFD zout=214A zout_ref=214A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7A12 yin=4000 zin=0000 xout=7A12 xout_ref=7A12 yout=0000 yout_ref=0000 zout=218E zout_ref=218E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7918 yin=4000 zin=0000 xout=7918 xout_ref=7918 yout=0000 yout_ref=0000 zout=21D2 zout_ref=21D2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=781E yin=4000 zin=0000 xout=781E xout_ref=781E yout=FFFD yout_ref=FFFD zout=221A zout_ref=221A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7724 yin=4000 zin=0000 xout=7724 xout_ref=7724 yout=FFFD yout_ref=FFFD zout=2262 zout_ref=2262
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=762A yin=4000 zin=0000 xout=762A xout_ref=762A yout=FFFF yout_ref=FFFF zout=22AA zout_ref=22AA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7530 yin=4000 zin=0000 xout=7530 xout_ref=7530 yout=FFFE yout_ref=FFFE zout=22F6 zout_ref=22F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7436 yin=4000 zin=0000 xout=7436 xout_ref=7436 yout=0003 yout_ref=0003 zout=233E zout_ref=233E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=733C yin=4000 zin=0000 xout=733C xout_ref=733C yout=0000 yout_ref=0000 zout=238A zout_ref=238A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7242 yin=4000 zin=0000 xout=7242 xout_ref=7242 yout=FFFF yout_ref=FFFF zout=23DA zout_ref=23DA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=7148 yin=4000 zin=0000 xout=7148 xout_ref=7148 yout=0003 yout_ref=0003 zout=2426 zout_ref=2426
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=704E yin=4000 zin=0000 xout=704E xout_ref=704E yout=FFFC yout_ref=FFFC zout=247A zout_ref=247A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6F54 yin=4000 zin=0000 xout=6F54 xout_ref=6F54 yout=0000 yout_ref=0000 zout=24CA zout_ref=24CA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6E5A yin=4000 zin=0000 xout=6E5A xout_ref=6E5A yout=0002 yout_ref=0002 zout=251E zout_ref=251E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6D60 yin=4000 zin=0000 xout=6D60 xout_ref=6D60 yout=0002 yout_ref=0002 zout=2572 zout_ref=2572
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6C66 yin=4000 zin=0000 xout=6C66 xout_ref=6C66 yout=FFFF yout_ref=FFFF zout=25CA zout_ref=25CA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6B6C yin=4000 zin=0000 xout=6B6C xout_ref=6B6C yout=FFFC yout_ref=FFFC zout=2622 zout_ref=2622
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6A72 yin=4000 zin=0000 xout=6A72 xout_ref=6A72 yout=FFFD yout_ref=FFFD zout=267E zout_ref=267E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6978 yin=4000 zin=0000 xout=6978 xout_ref=6978 yout=0001 yout_ref=0001 zout=26D6 zout_ref=26D6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=687E yin=4000 zin=0000 xout=687E xout_ref=687E yout=0002 yout_ref=0002 zout=2732 zout_ref=2732
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6784 yin=4000 zin=0000 xout=6784 xout_ref=6784 yout=FFFE yout_ref=FFFE zout=2792 zout_ref=2792
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=668A yin=4000 zin=0000 xout=668A xout_ref=668A yout=0002 yout_ref=0002 zout=27F2 zout_ref=27F2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6590 yin=4000 zin=0000 xout=6590 xout_ref=6590 yout=FFFD yout_ref=FFFD zout=2856 zout_ref=2856
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=6496 yin=4000 zin=0000 xout=6496 xout_ref=6496 yout=FFFE yout_ref=FFFE zout=28BA zout_ref=28BA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=639C yin=4000 zin=0000 xout=639C xout_ref=639C yout=FFFF yout_ref=FFFF zout=291E zout_ref=291E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=62A2 yin=4000 zin=0000 xout=62A2 xout_ref=62A2 yout=0001 yout_ref=0001 zout=2986 zout_ref=2986
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=61A8 yin=4000 zin=0000 xout=61A8 xout_ref=61A8 yout=0001 yout_ref=0001 zout=29F2 zout_ref=29F2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=60AE yin=4000 zin=0000 xout=60AE xout_ref=60AE yout=0000 yout_ref=0000 zout=2A5E zout_ref=2A5E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5FB4 yin=4000 zin=0000 xout=5FB4 xout_ref=5FB4 yout=FFFF yout_ref=FFFF zout=2ACE zout_ref=2ACE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5EBA yin=4000 zin=0000 xout=5EBA xout_ref=5EBA yout=0003 yout_ref=0003 zout=2B3E zout_ref=2B3E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5DC0 yin=4000 zin=0000 xout=5DC0 xout_ref=5DC0 yout=FFFE yout_ref=FFFE zout=2BB2 zout_ref=2BB2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5CC6 yin=4000 zin=0000 xout=5CC6 xout_ref=5CC6 yout=FFFF yout_ref=FFFF zout=2C26 zout_ref=2C26
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5BCC yin=4000 zin=0000 xout=5BCC xout_ref=5BCC yout=0002 yout_ref=0002 zout=2C9E zout_ref=2C9E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5AD2 yin=4000 zin=0000 xout=5AD2 xout_ref=5AD2 yout=FFFF yout_ref=FFFF zout=2D1A zout_ref=2D1A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=59D8 yin=4000 zin=0000 xout=59D8 xout_ref=59D8 yout=FFFD yout_ref=FFFD zout=2D9A zout_ref=2D9A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=58DE yin=4000 zin=0000 xout=58DE xout_ref=58DE yout=0002 yout_ref=0002 zout=2E16 zout_ref=2E16
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=57E4 yin=4000 zin=0000 xout=57E4 xout_ref=57E4 yout=0000 yout_ref=0000 zout=2E9A zout_ref=2E9A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=56EA yin=4000 zin=0000 xout=56EA xout_ref=56EA yout=FFFE yout_ref=FFFE zout=2F22 zout_ref=2F22
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=55F0 yin=4000 zin=0000 xout=55F0 xout_ref=55F0 yout=0001 yout_ref=0001 zout=2FAA zout_ref=2FAA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=54F6 yin=4000 zin=0000 xout=54F6 xout_ref=54F6 yout=FFFE yout_ref=FFFE zout=3036 zout_ref=3036
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=53FC yin=4000 zin=0000 xout=53FC xout_ref=53FC yout=0001 yout_ref=0001 zout=30C2 zout_ref=30C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5302 yin=4000 zin=0000 xout=5302 xout_ref=5302 yout=FFFE yout_ref=FFFE zout=315A zout_ref=315A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5208 yin=4000 zin=0000 xout=5208 xout_ref=5208 yout=0001 yout_ref=0001 zout=31EE zout_ref=31EE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=510E yin=4000 zin=0000 xout=510E xout_ref=510E yout=FFFD yout_ref=FFFD zout=328A zout_ref=328A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=5014 yin=4000 zin=0000 xout=5014 xout_ref=5014 yout=0001 yout_ref=0001 zout=3326 zout_ref=3326
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4F1A yin=4000 zin=0000 xout=4F1A xout_ref=4F1A yout=0001 yout_ref=0001 zout=33C6 zout_ref=33C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4E20 yin=4000 zin=0000 xout=4E20 xout_ref=4E20 yout=0000 yout_ref=0000 zout=346E zout_ref=346E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4D26 yin=4000 zin=0000 xout=4D26 xout_ref=4D26 yout=FFFE yout_ref=FFFE zout=351A zout_ref=351A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4C2C yin=4000 zin=0000 xout=4C2C xout_ref=4C2C yout=FFFF yout_ref=FFFF zout=35C6 zout_ref=35C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4B32 yin=4000 zin=0000 xout=4B32 xout_ref=4B32 yout=FFFE yout_ref=FFFE zout=367E zout_ref=367E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4A38 yin=4000 zin=0000 xout=4A38 xout_ref=4A38 yout=FFFF yout_ref=FFFF zout=3732 zout_ref=3732
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=493E yin=4000 zin=0000 xout=493E xout_ref=493E yout=FFFD yout_ref=FFFD zout=37F2 zout_ref=37F2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4844 yin=4000 zin=0000 xout=4844 xout_ref=4844 yout=0001 yout_ref=0001 zout=38AE zout_ref=38AE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=474A yin=4000 zin=0000 xout=474A xout_ref=474A yout=FFFE yout_ref=FFFE zout=397A zout_ref=397A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4650 yin=4000 zin=0000 xout=4650 xout_ref=4650 yout=FFFC yout_ref=FFFC zout=3A42 zout_ref=3A42
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4556 yin=4000 zin=0000 xout=4556 xout_ref=4556 yout=FFFE yout_ref=FFFE zout=3B16 zout_ref=3B16
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=445C yin=4000 zin=0000 xout=445C xout_ref=445C yout=FFFF yout_ref=FFFF zout=3BEE zout_ref=3BEE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4362 yin=4000 zin=0000 xout=4362 xout_ref=4362 yout=FFFF yout_ref=FFFF zout=3CCA zout_ref=3CCA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4268 yin=4000 zin=0000 xout=4268 xout_ref=4268 yout=FFFE yout_ref=FFFE zout=3DB2 zout_ref=3DB2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=416E yin=4000 zin=0000 xout=416E xout_ref=416E yout=FFFE yout_ref=FFFE zout=3E9E zout_ref=3E9E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=4074 yin=4000 zin=0000 xout=4074 xout_ref=4074 yout=FFFE yout_ref=FFFE zout=3F92 zout_ref=3F92
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3F7A yin=4000 zin=0000 xout=3F7A xout_ref=3F7A yout=FFFD yout_ref=FFFD zout=4082 zout_ref=4082
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3E80 yin=4000 zin=0000 xout=3E80 xout_ref=3E80 yout=FFFE yout_ref=FFFE zout=418A zout_ref=418A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3D86 yin=4000 zin=0000 xout=3D86 xout_ref=3D86 yout=FFFE yout_ref=FFFE zout=4292 zout_ref=4292
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3C8C yin=4000 zin=0000 xout=3C8C xout_ref=3C8C yout=FFFF yout_ref=FFFF zout=43A6 zout_ref=43A6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3B92 yin=4000 zin=0000 xout=3B92 xout_ref=3B92 yout=FFFE yout_ref=FFFE zout=44C2 zout_ref=44C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=3A98 yin=4000 zin=0000 xout=3A98 xout_ref=3A98 yout=FFFF yout_ref=FFFF zout=45EA zout_ref=45EA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=399E yin=4000 zin=0000 xout=399E xout_ref=399E yout=FFFF yout_ref=FFFF zout=4716 zout_ref=4716
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=38A4 yin=4000 zin=0000 xout=38A4 xout_ref=38A4 yout=0002 yout_ref=0002 zout=484E zout_ref=484E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=37AA yin=4000 zin=0000 xout=37AA xout_ref=37AA yout=FFFF yout_ref=FFFF zout=4996 zout_ref=4996
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=36B0 yin=4000 zin=0000 xout=36B0 xout_ref=36B0 yout=0001 yout_ref=0001 zout=4AE6 zout_ref=4AE6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=35B6 yin=4000 zin=0000 xout=35B6 xout_ref=35B6 yout=FFFD yout_ref=FFFD zout=4C42 zout_ref=4C42
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=34BC yin=4000 zin=0000 xout=34BC xout_ref=34BC yout=0000 yout_ref=0000 zout=4DAE zout_ref=4DAE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=33C2 yin=4000 zin=0000 xout=33C2 xout_ref=33C2 yout=FFFF yout_ref=FFFF zout=4F22 zout_ref=4F22
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=32C8 yin=4000 zin=0000 xout=32C8 xout_ref=32C8 yout=FFFE yout_ref=FFFE zout=50AA zout_ref=50AA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=31CE yin=4000 zin=0000 xout=31CE xout_ref=31CE yout=0000 yout_ref=0000 zout=523A zout_ref=523A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=30D4 yin=4000 zin=0000 xout=30D4 xout_ref=30D4 yout=0000 yout_ref=0000 zout=53E6 zout_ref=53E6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2FDA yin=4000 zin=0000 xout=2FDA xout_ref=2FDA yout=0000 yout_ref=0000 zout=559A zout_ref=559A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2EE0 yin=4000 zin=0000 xout=2EE0 xout_ref=2EE0 yout=FFFF yout_ref=FFFF zout=5762 zout_ref=5762
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2DE6 yin=4000 zin=0000 xout=2DE6 xout_ref=2DE6 yout=0001 yout_ref=0001 zout=593E zout_ref=593E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2CEC yin=4000 zin=0000 xout=2CEC xout_ref=2CEC yout=FFFE yout_ref=FFFE zout=5B32 zout_ref=5B32
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2BF2 yin=4000 zin=0000 xout=2BF2 xout_ref=2BF2 yout=FFFF yout_ref=FFFF zout=5D36 zout_ref=5D36
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2AF8 yin=4000 zin=0000 xout=2AF8 xout_ref=2AF8 yout=0000 yout_ref=0000 zout=5F56 zout_ref=5F56
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=29FE yin=4000 zin=0000 xout=29FE xout_ref=29FE yout=0000 yout_ref=0000 zout=6186 zout_ref=6186
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2904 yin=4000 zin=0000 xout=2904 xout_ref=2904 yout=0000 yout_ref=0000 zout=63DE zout_ref=63DE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=280A yin=4000 zin=0000 xout=280A xout_ref=280A yout=0000 yout_ref=0000 zout=664E zout_ref=664E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2710 yin=4000 zin=0000 xout=2710 xout_ref=2710 yout=FFFF yout_ref=FFFF zout=68DE zout_ref=68DE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2616 yin=4000 zin=0000 xout=2616 xout_ref=2616 yout=0000 yout_ref=0000 zout=6B8A zout_ref=6B8A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=251C yin=4000 zin=0000 xout=251C xout_ref=251C yout=0000 yout_ref=0000 zout=6E62 zout_ref=6E62
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2422 yin=4000 zin=0000 xout=2422 xout_ref=2422 yout=0000 yout_ref=0000 zout=715E zout_ref=715E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2328 yin=4000 zin=0000 xout=2328 xout_ref=2328 yout=FFFD yout_ref=FFFD zout=7482 zout_ref=7482
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=222E yin=4000 zin=0000 xout=222E xout_ref=222E yout=0000 yout_ref=0000 zout=77DA zout_ref=77DA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=2134 yin=4000 zin=0000 xout=2134 xout_ref=2134 yout=FFFF yout_ref=FFFF zout=7B62 zout_ref=7B62
CORDIC OK: Number of cycles=73
 direction=0001 mode=0001 xin=203A yin=4000 zin=0000 xout=203A xout_ref=203A yout=FFFF yout_ref=FFFF zout=7F22 zout_ref=7F22
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=1FA0 yin=FFA0 zin=0000 xout=1A2B xout_ref=1A2B yout=FFFE yout_ref=FFFE zout=FF3D zout_ref=FF3D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=1F98 yin=4000 zin=0000 xout=1F98 xout_ref=1F98 yout=00D8 yout_ref=00D8 zout=7FFE zout_ref=7FFE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2068 yin=0068 zin=0000 xout=1ACD xout_ref=1ACD yout=FFFE yout_ref=FFFE zout=00C3 zout_ref=00C3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=205C yin=4000 zin=0000 xout=205C xout_ref=205C yout=FFFF yout_ref=FFFF zout=7E9A zout_ref=7E9A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2130 yin=0130 zin=0000 xout=1B71 xout_ref=1B71 yout=FFFF yout_ref=FFFF zout=0243 zout_ref=0243
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2122 yin=4000 zin=0000 xout=2122 xout_ref=2122 yout=0000 yout_ref=0000 zout=7BA2 zout_ref=7BA2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=21F8 yin=01F8 zin=0000 xout=1C13 xout_ref=1C13 yout=0000 yout_ref=0000 zout=03BB zout_ref=03BB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=21E6 yin=4000 zin=0000 xout=21E6 xout_ref=21E6 yout=FFFF yout_ref=FFFF zout=78DA zout_ref=78DA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=22C0 yin=02C0 zin=0000 xout=1CAA xout_ref=1CAA yout=FFFE yout_ref=FFFE zout=0513 zout_ref=0513
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=229C yin=4000 zin=0000 xout=229C xout_ref=229C yout=0000 yout_ref=0000 zout=765A zout_ref=765A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2388 yin=0388 zin=0000 xout=1D46 xout_ref=1D46 yout=0002 yout_ref=0002 zout=0663 zout_ref=0663
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2358 yin=4000 zin=0000 xout=2358 xout_ref=2358 yout=0000 yout_ref=0000 zout=73E6 zout_ref=73E6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2450 yin=0450 zin=0000 xout=1DD9 xout_ref=1DD9 yout=0002 yout_ref=0002 zout=07A5 zout_ref=07A5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=240A yin=4000 zin=0000 xout=240A xout_ref=240A yout=0000 yout_ref=0000 zout=71A6 zout_ref=71A6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2518 yin=0518 zin=0000 xout=1E69 xout_ref=1E69 yout=0001 yout_ref=0001 zout=08D5 zout_ref=08D5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=24B8 yin=4000 zin=0000 xout=24B8 xout_ref=24B8 yout=0000 yout_ref=0000 zout=6F92 zout_ref=6F92
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=25E0 yin=05E0 zin=0000 xout=1EF7 xout_ref=1EF7 yout=FFFC yout_ref=FFFC zout=0A05 zout_ref=0A05
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2563 yin=4000 zin=0000 xout=2563 xout_ref=2563 yout=FFFF yout_ref=FFFF zout=6D92 zout_ref=6D92
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=26A8 yin=06A8 zin=0000 xout=1F87 xout_ref=1F87 yout=0002 yout_ref=0002 zout=0B25 zout_ref=0B25
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2611 yin=4000 zin=0000 xout=2611 xout_ref=2611 yout=FFFF yout_ref=FFFF zout=6B9E zout_ref=6B9E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2770 yin=0770 zin=0000 xout=2010 xout_ref=2010 yout=FFFF yout_ref=FFFF zout=0C39 zout_ref=0C39
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=26B6 yin=4000 zin=0000 xout=26B6 xout_ref=26B6 yout=0000 yout_ref=0000 zout=69CE zout_ref=69CE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2838 yin=0838 zin=0000 xout=2094 xout_ref=2094 yout=0000 yout_ref=0000 zout=0D45 zout_ref=0D45
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2756 yin=4000 zin=0000 xout=2756 xout_ref=2756 yout=0000 yout_ref=0000 zout=681E zout_ref=681E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2900 yin=0900 zin=0000 xout=211C xout_ref=211C yout=0000 yout_ref=0000 zout=0E49 zout_ref=0E49
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=27FA yin=4000 zin=0000 xout=27FA xout_ref=27FA yout=0000 yout_ref=0000 zout=667A zout_ref=667A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=29C8 yin=09C8 zin=0000 xout=21A0 xout_ref=21A0 yout=0000 yout_ref=0000 zout=0F43 zout_ref=0F43
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2899 yin=4000 zin=0000 xout=2899 xout_ref=2899 yout=0000 yout_ref=0000 zout=64E6 zout_ref=64E6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2A90 yin=0A90 zin=0000 xout=2223 xout_ref=2223 yout=0000 yout_ref=0000 zout=103B zout_ref=103B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2937 yin=4000 zin=0000 xout=2937 xout_ref=2937 yout=0000 yout_ref=0000 zout=6362 zout_ref=6362
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2B58 yin=0B58 zin=0000 xout=22A3 xout_ref=22A3 yout=FFFF yout_ref=FFFF zout=1127 zout_ref=1127
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=29D2 yin=4000 zin=0000 xout=29D2 xout_ref=29D2 yout=FFFF yout_ref=FFFF zout=61F6 zout_ref=61F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2C20 yin=0C20 zin=0000 xout=2320 xout_ref=2320 yout=FFFF yout_ref=FFFF zout=120F zout_ref=120F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2A69 yin=4000 zin=0000 xout=2A69 xout_ref=2A69 yout=FFFF yout_ref=FFFF zout=6092 zout_ref=6092
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2CE8 yin=0CE8 zin=0000 xout=2398 xout_ref=2398 yout=FFFF yout_ref=FFFF zout=12E7 zout_ref=12E7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2AFA yin=4000 zin=0000 xout=2AFA xout_ref=2AFA yout=FFFF yout_ref=FFFF zout=5F52 zout_ref=5F52
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2DB0 yin=0DB0 zin=0000 xout=2417 xout_ref=2417 yout=FFFF yout_ref=FFFF zout=13C7 zout_ref=13C7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2B93 yin=4000 zin=0000 xout=2B93 xout_ref=2B93 yout=FFFC yout_ref=FFFC zout=5E02 zout_ref=5E02
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2E78 yin=0E78 zin=0000 xout=248F xout_ref=248F yout=FFFF yout_ref=FFFF zout=149F zout_ref=149F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2C24 yin=4000 zin=0000 xout=2C24 xout_ref=2C24 yout=FFFF yout_ref=FFFF zout=5CCE zout_ref=5CCE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=2F40 yin=0F40 zin=0000 xout=2505 xout_ref=2505 yout=0000 yout_ref=0000 zout=156B zout_ref=156B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2CB3 yin=4000 zin=0000 xout=2CB3 xout_ref=2CB3 yout=0000 yout_ref=0000 zout=5BA2 zout_ref=5BA2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3008 yin=1008 zin=0000 xout=257C xout_ref=257C yout=FFFF yout_ref=FFFF zout=1637 zout_ref=1637
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2D42 yin=4000 zin=0000 xout=2D42 xout_ref=2D42 yout=FFFC yout_ref=FFFC zout=5A82 zout_ref=5A82
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=30D0 yin=10D0 zin=0000 xout=25EF xout_ref=25EF yout=FFFF yout_ref=FFFF zout=16F9 zout_ref=16F9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2DCD yin=4000 zin=0000 xout=2DCD xout_ref=2DCD yout=FFFF yout_ref=FFFF zout=5972 zout_ref=5972
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3198 yin=1198 zin=0000 xout=2663 xout_ref=2663 yout=0000 yout_ref=0000 zout=17B9 zout_ref=17B9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2E59 yin=4000 zin=0000 xout=2E59 xout_ref=2E59 yout=0002 yout_ref=0002 zout=585E zout_ref=585E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3260 yin=1260 zin=0000 xout=26D4 xout_ref=26D4 yout=FFFF yout_ref=FFFF zout=1879 zout_ref=1879
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2EE2 yin=4000 zin=0000 xout=2EE2 xout_ref=2EE2 yout=0002 yout_ref=0002 zout=575E zout_ref=575E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3328 yin=1328 zin=0000 xout=2745 xout_ref=2745 yout=FFFF yout_ref=FFFF zout=1931 zout_ref=1931
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2F6A yin=4000 zin=0000 xout=2F6A xout_ref=2F6A yout=FFFF yout_ref=FFFF zout=5662 zout_ref=5662
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=33F0 yin=13F0 zin=0000 xout=27B4 xout_ref=27B4 yout=FFFF yout_ref=FFFF zout=19E5 zout_ref=19E5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=2FF0 yin=4000 zin=0000 xout=2FF0 xout_ref=2FF0 yout=FFFF yout_ref=FFFF zout=5576 zout_ref=5576
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=34B8 yin=14B8 zin=0000 xout=2826 xout_ref=2826 yout=FFFF yout_ref=FFFF zout=1A99 zout_ref=1A99
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=307A yin=4000 zin=0000 xout=307A xout_ref=307A yout=FFFF yout_ref=FFFF zout=547E zout_ref=547E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3580 yin=1580 zin=0000 xout=288E xout_ref=288E yout=FFFF yout_ref=FFFF zout=1B41 zout_ref=1B41
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=30F7 yin=4000 zin=0000 xout=30F7 xout_ref=30F7 yout=FFFF yout_ref=FFFF zout=53AA zout_ref=53AA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3648 yin=1648 zin=0000 xout=28F8 xout_ref=28F8 yout=FFFF yout_ref=FFFF zout=1BE9 zout_ref=1BE9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3177 yin=4000 zin=0000 xout=3177 xout_ref=3177 yout=0001 yout_ref=0001 zout=52CE zout_ref=52CE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3710 yin=1710 zin=0000 xout=2968 xout_ref=2968 yout=0000 yout_ref=0000 zout=1C91 zout_ref=1C91
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=31FF yin=4000 zin=0000 xout=31FF xout_ref=31FF yout=0001 yout_ref=0001 zout=51EE zout_ref=51EE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=37D8 yin=17D8 zin=0000 xout=29D1 xout_ref=29D1 yout=FFFF yout_ref=FFFF zout=1D35 zout_ref=1D35
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=327D yin=4000 zin=0000 xout=327D xout_ref=327D yout=0001 yout_ref=0001 zout=511E zout_ref=511E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=38A0 yin=18A0 zin=0000 xout=2A3B xout_ref=2A3B yout=0000 yout_ref=0000 zout=1DD5 zout_ref=1DD5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=32FD yin=4000 zin=0000 xout=32FD xout_ref=32FD yout=FFFE yout_ref=FFFE zout=5052 zout_ref=5052
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3968 yin=1968 zin=0000 xout=2A9F xout_ref=2A9F yout=0000 yout_ref=0000 zout=1E6D zout_ref=1E6D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3376 yin=4000 zin=0000 xout=3376 xout_ref=3376 yout=FFFF yout_ref=FFFF zout=4F9A zout_ref=4F9A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3A30 yin=1A30 zin=0000 xout=2B05 xout_ref=2B05 yout=0000 yout_ref=0000 zout=1F07 zout_ref=1F07
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=33F1 yin=4000 zin=0000 xout=33F1 xout_ref=33F1 yout=0001 yout_ref=0001 zout=4EDE zout_ref=4EDE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3AF8 yin=1AF8 zin=0000 xout=2B68 xout_ref=2B68 yout=FFFE yout_ref=FFFE zout=1F9B zout_ref=1F9B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3469 yin=4000 zin=0000 xout=3469 xout_ref=3469 yout=0001 yout_ref=0001 zout=4E26 zout_ref=4E26
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3BC0 yin=1BC0 zin=0000 xout=2BD0 xout_ref=2BD0 yout=0000 yout_ref=0000 zout=202F zout_ref=202F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=34E6 yin=4000 zin=0000 xout=34E6 xout_ref=34E6 yout=0000 yout_ref=0000 zout=4D6E zout_ref=4D6E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3C88 yin=1C88 zin=0000 xout=2C35 xout_ref=2C35 yout=FFFF yout_ref=FFFF zout=20C3 zout_ref=20C3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3560 yin=4000 zin=0000 xout=3560 xout_ref=3560 yout=0000 yout_ref=0000 zout=4CBE zout_ref=4CBE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3D50 yin=1D50 zin=0000 xout=2C97 xout_ref=2C97 yout=0000 yout_ref=0000 zout=214F zout_ref=214F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=35D7 yin=4000 zin=0000 xout=35D7 xout_ref=35D7 yout=FFFE yout_ref=FFFE zout=4C12 zout_ref=4C12
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3E18 yin=1E18 zin=0000 xout=2CF7 xout_ref=2CF7 yout=FFFE yout_ref=FFFE zout=21DB zout_ref=21DB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=364B yin=4000 zin=0000 xout=364B xout_ref=364B yout=0000 yout_ref=0000 zout=4B72 zout_ref=4B72
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3EE0 yin=1EE0 zin=0000 xout=2D5A xout_ref=2D5A yout=0000 yout_ref=0000 zout=2267 zout_ref=2267
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=36C2 yin=4000 zin=0000 xout=36C2 xout_ref=36C2 yout=FFFF yout_ref=FFFF zout=4ACE zout_ref=4ACE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=3FA8 yin=1FA8 zin=0000 xout=2DB9 xout_ref=2DB9 yout=FFFF yout_ref=FFFF zout=22EB zout_ref=22EB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3735 yin=4000 zin=0000 xout=3735 xout_ref=3735 yout=FFFF yout_ref=FFFF zout=4A32 zout_ref=4A32
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4070 yin=2070 zin=0000 xout=2E1B xout_ref=2E1B yout=0002 yout_ref=0002 zout=2373 zout_ref=2373
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=37AB yin=4000 zin=0000 xout=37AB xout_ref=37AB yout=0000 yout_ref=0000 zout=4992 zout_ref=4992
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4138 yin=2138 zin=0000 xout=2E79 xout_ref=2E79 yout=0002 yout_ref=0002 zout=23F3 zout_ref=23F3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=381D yin=4000 zin=0000 xout=381D xout_ref=381D yout=0001 yout_ref=0001 zout=48FE zout_ref=48FE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4200 yin=2200 zin=0000 xout=2ED2 xout_ref=2ED2 yout=FFFD yout_ref=FFFD zout=2473 zout_ref=2473
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3888 yin=4000 zin=0000 xout=3888 xout_ref=3888 yout=FFFF yout_ref=FFFF zout=4876 zout_ref=4876
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=42C8 yin=22C8 zin=0000 xout=2F35 xout_ref=2F35 yout=0003 yout_ref=0003 zout=24F3 zout_ref=24F3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3900 yin=4000 zin=0000 xout=3900 xout_ref=3900 yout=0000 yout_ref=0000 zout=47DE zout_ref=47DE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4390 yin=2390 zin=0000 xout=2F93 xout_ref=2F93 yout=0003 yout_ref=0003 zout=2573 zout_ref=2573
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3971 yin=4000 zin=0000 xout=3971 xout_ref=3971 yout=FFFE yout_ref=FFFE zout=4752 zout_ref=4752
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4458 yin=2458 zin=0000 xout=2FEE xout_ref=2FEE yout=0000 yout_ref=0000 zout=25F3 zout_ref=25F3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=39DF yin=4000 zin=0000 xout=39DF xout_ref=39DF yout=0001 yout_ref=0001 zout=46C6 zout_ref=46C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4520 yin=2520 zin=0000 xout=3048 xout_ref=3048 yout=FFFF yout_ref=FFFF zout=2667 zout_ref=2667
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3A4C yin=4000 zin=0000 xout=3A4C xout_ref=3A4C yout=FFFD yout_ref=FFFD zout=4642 zout_ref=4642
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=45E8 yin=25E8 zin=0000 xout=30A1 xout_ref=30A1 yout=FFFF yout_ref=FFFF zout=26DF zout_ref=26DF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3AB7 yin=4000 zin=0000 xout=3AB7 xout_ref=3AB7 yout=FFFE yout_ref=FFFE zout=45C2 zout_ref=45C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=46B0 yin=26B0 zin=0000 xout=30FC xout_ref=30FC yout=0000 yout_ref=0000 zout=2753 zout_ref=2753
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3B25 yin=4000 zin=0000 xout=3B25 xout_ref=3B25 yout=FFFC yout_ref=FFFC zout=4542 zout_ref=4542
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4778 yin=2778 zin=0000 xout=3150 xout_ref=3150 yout=FFFF yout_ref=FFFF zout=27C5 zout_ref=27C5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3B8A yin=4000 zin=0000 xout=3B8A xout_ref=3B8A yout=0000 yout_ref=0000 zout=44CA zout_ref=44CA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4840 yin=2840 zin=0000 xout=31AB xout_ref=31AB yout=0000 yout_ref=0000 zout=2839 zout_ref=2839
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3BF8 yin=4000 zin=0000 xout=3BF8 xout_ref=3BF8 yout=0000 yout_ref=0000 zout=444A zout_ref=444A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4908 yin=2908 zin=0000 xout=3204 xout_ref=3204 yout=FFFF yout_ref=FFFF zout=28AD zout_ref=28AD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3C64 yin=4000 zin=0000 xout=3C64 xout_ref=3C64 yout=FFFF yout_ref=FFFF zout=43D6 zout_ref=43D6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=49D0 yin=29D0 zin=0000 xout=325C xout_ref=325C yout=FFFF yout_ref=FFFF zout=291D zout_ref=291D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3CCE yin=4000 zin=0000 xout=3CCE xout_ref=3CCE yout=FFFF yout_ref=FFFF zout=435E zout_ref=435E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4A98 yin=2A98 zin=0000 xout=32B1 xout_ref=32B1 yout=FFFF yout_ref=FFFF zout=2989 zout_ref=2989
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3D35 yin=4000 zin=0000 xout=3D35 xout_ref=3D35 yout=FFFF yout_ref=FFFF zout=42EE zout_ref=42EE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4B60 yin=2B60 zin=0000 xout=330B xout_ref=330B yout=0002 yout_ref=0002 zout=29F5 zout_ref=29F5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3DA1 yin=4000 zin=0000 xout=3DA1 xout_ref=3DA1 yout=FFFE yout_ref=FFFE zout=427A zout_ref=427A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4C28 yin=2C28 zin=0000 xout=3361 xout_ref=3361 yout=0000 yout_ref=0000 zout=2A61 zout_ref=2A61
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3E09 yin=4000 zin=0000 xout=3E09 xout_ref=3E09 yout=FFFF yout_ref=FFFF zout=4206 zout_ref=4206
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4CF0 yin=2CF0 zin=0000 xout=33B5 xout_ref=33B5 yout=0000 yout_ref=0000 zout=2ACD zout_ref=2ACD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3E6F yin=4000 zin=0000 xout=3E6F xout_ref=3E6F yout=FFFF yout_ref=FFFF zout=419A zout_ref=419A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4DB8 yin=2DB8 zin=0000 xout=340C xout_ref=340C yout=FFFF yout_ref=FFFF zout=2B35 zout_ref=2B35
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3ED8 yin=4000 zin=0000 xout=3ED8 xout_ref=3ED8 yout=FFFF yout_ref=FFFF zout=412E zout_ref=412E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4E80 yin=2E80 zin=0000 xout=345B xout_ref=345B yout=0000 yout_ref=0000 zout=2B95 zout_ref=2B95
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3F37 yin=4000 zin=0000 xout=3F37 xout_ref=3F37 yout=0001 yout_ref=0001 zout=40C6 zout_ref=40C6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=4F48 yin=2F48 zin=0000 xout=34AF xout_ref=34AF yout=FFFF yout_ref=FFFF zout=2BFD zout_ref=2BFD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=3F9C yin=4000 zin=0000 xout=3F9C xout_ref=3F9C yout=FFFE yout_ref=FFFE zout=4062 zout_ref=4062
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5010 yin=3010 zin=0000 xout=3505 xout_ref=3505 yout=0000 yout_ref=0000 zout=2C65 zout_ref=2C65
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4004 yin=4000 zin=0000 xout=4004 xout_ref=4004 yout=FFFF yout_ref=FFFF zout=3FFE zout_ref=3FFE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=50D8 yin=30D8 zin=0000 xout=3557 xout_ref=3557 yout=FFFF yout_ref=FFFF zout=2CC9 zout_ref=2CC9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4067 yin=4000 zin=0000 xout=4067 xout_ref=4067 yout=FFFF yout_ref=FFFF zout=3F9E zout_ref=3F9E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=51A0 yin=31A0 zin=0000 xout=35AB xout_ref=35AB yout=FFFF yout_ref=FFFF zout=2D2D zout_ref=2D2D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=40CD yin=4000 zin=0000 xout=40CD xout_ref=40CD yout=0001 yout_ref=0001 zout=3F36 zout_ref=3F36
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5268 yin=3268 zin=0000 xout=35F6 xout_ref=35F6 yout=0000 yout_ref=0000 zout=2D85 zout_ref=2D85
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4127 yin=4000 zin=0000 xout=4127 xout_ref=4127 yout=FFFF yout_ref=FFFF zout=3EE2 zout_ref=3EE2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5330 yin=3330 zin=0000 xout=364D xout_ref=364D yout=FFFF yout_ref=FFFF zout=2DED zout_ref=2DED
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4190 yin=4000 zin=0000 xout=4190 xout_ref=4190 yout=0001 yout_ref=0001 zout=3E7A zout_ref=3E7A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=53F8 yin=33F8 zin=0000 xout=369D xout_ref=369D yout=FFFF yout_ref=FFFF zout=2E4D zout_ref=2E4D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=41F1 yin=4000 zin=0000 xout=41F1 xout_ref=41F1 yout=FFFE yout_ref=FFFE zout=3E1E zout_ref=3E1E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=54C0 yin=34C0 zin=0000 xout=36EC xout_ref=36EC yout=FFFF yout_ref=FFFF zout=2EA9 zout_ref=2EA9
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4250 yin=4000 zin=0000 xout=4250 xout_ref=4250 yout=FFFF yout_ref=FFFF zout=3DC6 zout_ref=3DC6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5588 yin=3588 zin=0000 xout=373D xout_ref=373D yout=FFFF yout_ref=FFFF zout=2F05 zout_ref=2F05
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=42B2 yin=4000 zin=0000 xout=42B2 xout_ref=42B2 yout=0001 yout_ref=0001 zout=3D6A zout_ref=3D6A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5650 yin=3650 zin=0000 xout=378D xout_ref=378D yout=0000 yout_ref=0000 zout=2F61 zout_ref=2F61
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4313 yin=4000 zin=0000 xout=4313 xout_ref=4313 yout=FFFF yout_ref=FFFF zout=3D12 zout_ref=3D12
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5718 yin=3718 zin=0000 xout=37D6 xout_ref=37D6 yout=FFFD yout_ref=FFFD zout=2FB7 zout_ref=2FB7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=436B yin=4000 zin=0000 xout=436B xout_ref=436B yout=FFFF yout_ref=FFFF zout=3CC2 zout_ref=3CC2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=57E0 yin=37E0 zin=0000 xout=3827 xout_ref=3827 yout=0000 yout_ref=0000 zout=300F zout_ref=300F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=43CD yin=4000 zin=0000 xout=43CD xout_ref=43CD yout=0001 yout_ref=0001 zout=3C6A zout_ref=3C6A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=58A8 yin=38A8 zin=0000 xout=3875 xout_ref=3875 yout=FFFF yout_ref=FFFF zout=3067 zout_ref=3067
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=442B yin=4000 zin=0000 xout=442B xout_ref=442B yout=0001 yout_ref=0001 zout=3C16 zout_ref=3C16
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5970 yin=3970 zin=0000 xout=38C3 xout_ref=38C3 yout=FFFF yout_ref=FFFF zout=30C3 zout_ref=30C3
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4489 yin=4000 zin=0000 xout=4489 xout_ref=4489 yout=FFFE yout_ref=FFFE zout=3BC6 zout_ref=3BC6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5A38 yin=3A38 zin=0000 xout=390F xout_ref=390F yout=0000 yout_ref=0000 zout=3117 zout_ref=3117
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=44E5 yin=4000 zin=0000 xout=44E5 xout_ref=44E5 yout=0000 yout_ref=0000 zout=3B76 zout_ref=3B76
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5B00 yin=3B00 zin=0000 xout=3960 xout_ref=3960 yout=FFFF yout_ref=FFFF zout=3173 zout_ref=3173
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4547 yin=4000 zin=0000 xout=4547 xout_ref=4547 yout=FFFE yout_ref=FFFE zout=3B22 zout_ref=3B22
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5BC8 yin=3BC8 zin=0000 xout=39A9 xout_ref=39A9 yout=FFFF yout_ref=FFFF zout=31C7 zout_ref=31C7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=459F yin=4000 zin=0000 xout=459F xout_ref=459F yout=FFFE yout_ref=FFFE zout=3ADA zout_ref=3ADA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5C90 yin=3C90 zin=0000 xout=39F4 xout_ref=39F4 yout=FFFF yout_ref=FFFF zout=3217 zout_ref=3217
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=45F9 yin=4000 zin=0000 xout=45F9 xout_ref=45F9 yout=FFFE yout_ref=FFFE zout=3A8A zout_ref=3A8A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5D58 yin=3D58 zin=0000 xout=3A42 xout_ref=3A42 yout=0000 yout_ref=0000 zout=326B zout_ref=326B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4658 yin=4000 zin=0000 xout=4658 xout_ref=4658 yout=0001 yout_ref=0001 zout=3A3A zout_ref=3A3A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5E20 yin=3E20 zin=0000 xout=3A8B xout_ref=3A8B yout=0000 yout_ref=0000 zout=32BB zout_ref=32BB
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=46B0 yin=4000 zin=0000 xout=46B0 xout_ref=46B0 yout=0001 yout_ref=0001 zout=39F2 zout_ref=39F2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5EE8 yin=3EE8 zin=0000 xout=3AD7 xout_ref=3AD7 yout=0000 yout_ref=0000 zout=330F zout_ref=330F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=470B yin=4000 zin=0000 xout=470B xout_ref=470B yout=FFFE yout_ref=FFFE zout=39AA zout_ref=39AA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=5FB0 yin=3FB0 zin=0000 xout=3B24 xout_ref=3B24 yout=0000 yout_ref=0000 zout=3363 zout_ref=3363
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4768 yin=4000 zin=0000 xout=4768 xout_ref=4768 yout=0000 yout_ref=0000 zout=395E zout_ref=395E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6078 yin=4078 zin=0000 xout=3B6A xout_ref=3B6A yout=FFFF yout_ref=FFFF zout=33AF zout_ref=33AF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=47BD yin=4000 zin=0000 xout=47BD xout_ref=47BD yout=0001 yout_ref=0001 zout=3916 zout_ref=3916
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6140 yin=4140 zin=0000 xout=3BB4 xout_ref=3BB4 yout=FFFF yout_ref=FFFF zout=33FF zout_ref=33FF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4816 yin=4000 zin=0000 xout=4816 xout_ref=4816 yout=0000 yout_ref=0000 zout=38D2 zout_ref=38D2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6208 yin=4208 zin=0000 xout=3BFD xout_ref=3BFD yout=FFFF yout_ref=FFFF zout=344B zout_ref=344B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=486E yin=4000 zin=0000 xout=486E xout_ref=486E yout=0000 yout_ref=0000 zout=388E zout_ref=388E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=62D0 yin=42D0 zin=0000 xout=3C45 xout_ref=3C45 yout=FFFF yout_ref=FFFF zout=349B zout_ref=349B
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=48C5 yin=4000 zin=0000 xout=48C5 xout_ref=48C5 yout=FFFF yout_ref=FFFF zout=384A zout_ref=384A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6398 yin=4398 zin=0000 xout=3C91 xout_ref=3C91 yout=0001 yout_ref=0001 zout=34E7 zout_ref=34E7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4921 yin=4000 zin=0000 xout=4921 xout_ref=4921 yout=FFFE yout_ref=FFFE zout=3802 zout_ref=3802
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6460 yin=4460 zin=0000 xout=3CDA xout_ref=3CDA yout=FFFF yout_ref=FFFF zout=3537 zout_ref=3537
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4979 yin=4000 zin=0000 xout=4979 xout_ref=4979 yout=FFFF yout_ref=FFFF zout=37C2 zout_ref=37C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6528 yin=4528 zin=0000 xout=3D23 xout_ref=3D23 yout=FFFF yout_ref=FFFF zout=3583 zout_ref=3583
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=49D1 yin=4000 zin=0000 xout=49D1 xout_ref=49D1 yout=0002 yout_ref=0002 zout=377E zout_ref=377E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=65F0 yin=45F0 zin=0000 xout=3D65 xout_ref=3D65 yout=FFFF yout_ref=FFFF zout=35C7 zout_ref=35C7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4A21 yin=4000 zin=0000 xout=4A21 xout_ref=4A21 yout=FFFE yout_ref=FFFE zout=3742 zout_ref=3742
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=66B8 yin=46B8 zin=0000 xout=3DB0 xout_ref=3DB0 yout=FFFF yout_ref=FFFF zout=3617 zout_ref=3617
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4A7C yin=4000 zin=0000 xout=4A7C xout_ref=4A7C yout=0003 yout_ref=0003 zout=36FE zout_ref=36FE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6780 yin=4780 zin=0000 xout=3DF6 xout_ref=3DF6 yout=FFFF yout_ref=FFFF zout=365F zout_ref=365F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4AD0 yin=4000 zin=0000 xout=4AD0 xout_ref=4AD0 yout=FFFD yout_ref=FFFD zout=36C2 zout_ref=36C2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6848 yin=4848 zin=0000 xout=3E3E xout_ref=3E3E yout=0002 yout_ref=0002 zout=36A7 zout_ref=36A7
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4B27 yin=4000 zin=0000 xout=4B27 xout_ref=4B27 yout=FFFD yout_ref=FFFD zout=3682 zout_ref=3682
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6910 yin=4910 zin=0000 xout=3E85 xout_ref=3E85 yout=0000 yout_ref=0000 zout=36EF zout_ref=36EF
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4B7D yin=4000 zin=0000 xout=4B7D xout_ref=4B7D yout=FFFF yout_ref=FFFF zout=3642 zout_ref=3642
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=69D8 yin=49D8 zin=0000 xout=3EC9 xout_ref=3EC9 yout=FFFE yout_ref=FFFE zout=3737 zout_ref=3737
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4BCF yin=4000 zin=0000 xout=4BCF xout_ref=4BCF yout=FFFF yout_ref=FFFF zout=3606 zout_ref=3606
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6AA0 yin=4AA0 zin=0000 xout=3F12 xout_ref=3F12 yout=FFFF yout_ref=FFFF zout=377F zout_ref=377F
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4C27 yin=4000 zin=0000 xout=4C27 xout_ref=4C27 yout=0000 yout_ref=0000 zout=35CA zout_ref=35CA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6B68 yin=4B68 zin=0000 xout=3F53 xout_ref=3F53 yout=FFFF yout_ref=FFFF zout=37C1 zout_ref=37C1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4C76 yin=4000 zin=0000 xout=4C76 xout_ref=4C76 yout=0001 yout_ref=0001 zout=3592 zout_ref=3592
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6C30 yin=4C30 zin=0000 xout=3F9C xout_ref=3F9C yout=0004 yout_ref=0004 zout=3809 zout_ref=3809
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4CCE yin=4000 zin=0000 xout=4CCE xout_ref=4CCE yout=FFFF yout_ref=FFFF zout=3556 zout_ref=3556
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6CF8 yin=4CF8 zin=0000 xout=3FDE xout_ref=3FDE yout=FFFF yout_ref=FFFF zout=384D zout_ref=384D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4D1D yin=4000 zin=0000 xout=4D1D xout_ref=4D1D yout=0001 yout_ref=0001 zout=351E zout_ref=351E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6DC0 yin=4DC0 zin=0000 xout=4021 xout_ref=4021 yout=0000 yout_ref=0000 zout=3891 zout_ref=3891
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4D6E yin=4000 zin=0000 xout=4D6E xout_ref=4D6E yout=0000 yout_ref=0000 zout=34E6 zout_ref=34E6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6E88 yin=4E88 zin=0000 xout=4067 xout_ref=4067 yout=0001 yout_ref=0001 zout=38D5 zout_ref=38D5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4DC3 yin=4000 zin=0000 xout=4DC3 xout_ref=4DC3 yout=FFFF yout_ref=FFFF zout=34AE zout_ref=34AE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=6F50 yin=4F50 zin=0000 xout=40AA xout_ref=40AA yout=0001 yout_ref=0001 zout=3919 zout_ref=3919
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4E14 yin=4000 zin=0000 xout=4E14 xout_ref=4E14 yout=0001 yout_ref=0001 zout=3476 zout_ref=3476
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7018 yin=5018 zin=0000 xout=40F0 xout_ref=40F0 yout=0000 yout_ref=0000 zout=395D zout_ref=395D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4E68 yin=4000 zin=0000 xout=4E68 xout_ref=4E68 yout=0000 yout_ref=0000 zout=343E zout_ref=343E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=70E0 yin=50E0 zin=0000 xout=4131 xout_ref=4131 yout=0001 yout_ref=0001 zout=399D zout_ref=399D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4EB7 yin=4000 zin=0000 xout=4EB7 xout_ref=4EB7 yout=0001 yout_ref=0001 zout=3406 zout_ref=3406
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=71A8 yin=51A8 zin=0000 xout=4176 xout_ref=4176 yout=FFFF yout_ref=FFFF zout=39E1 zout_ref=39E1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4F0A yin=4000 zin=0000 xout=4F0A xout_ref=4F0A yout=0000 yout_ref=0000 zout=33D2 zout_ref=33D2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7270 yin=5270 zin=0000 xout=41B8 xout_ref=41B8 yout=0000 yout_ref=0000 zout=3A21 zout_ref=3A21
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4F5A yin=4000 zin=0000 xout=4F5A xout_ref=4F5A yout=0002 yout_ref=0002 zout=339E zout_ref=339E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7338 yin=5338 zin=0000 xout=41FC xout_ref=41FC yout=FFFE yout_ref=FFFE zout=3A65 zout_ref=3A65
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4FAC yin=4000 zin=0000 xout=4FAC xout_ref=4FAC yout=0000 yout_ref=0000 zout=336A zout_ref=336A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7400 yin=5400 zin=0000 xout=423D xout_ref=423D yout=0001 yout_ref=0001 zout=3AA1 zout_ref=3AA1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=4FFA yin=4000 zin=0000 xout=4FFA xout_ref=4FFA yout=FFFE yout_ref=FFFE zout=333A zout_ref=333A
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=74C8 yin=54C8 zin=0000 xout=4281 xout_ref=4281 yout=0001 yout_ref=0001 zout=3AE1 zout_ref=3AE1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=504C yin=4000 zin=0000 xout=504C xout_ref=504C yout=0000 yout_ref=0000 zout=3302 zout_ref=3302
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7590 yin=5590 zin=0000 xout=42C3 xout_ref=42C3 yout=FFFE yout_ref=FFFE zout=3B25 zout_ref=3B25
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=509C yin=4000 zin=0000 xout=509C xout_ref=509C yout=FFFD yout_ref=FFFD zout=32D2 zout_ref=32D2
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7658 yin=5658 zin=0000 xout=4306 xout_ref=4306 yout=FFFF yout_ref=FFFF zout=3B61 zout_ref=3B61
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=50ED yin=4000 zin=0000 xout=50ED xout_ref=50ED yout=FFFF yout_ref=FFFF zout=329E zout_ref=329E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7720 yin=5720 zin=0000 xout=4343 xout_ref=4343 yout=0000 yout_ref=0000 zout=3B9D zout_ref=3B9D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=5137 yin=4000 zin=0000 xout=5137 xout_ref=5137 yout=FFFD yout_ref=FFFD zout=3272 zout_ref=3272
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=77E8 yin=57E8 zin=0000 xout=4385 xout_ref=4385 yout=0000 yout_ref=0000 zout=3BDD zout_ref=3BDD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=5186 yin=4000 zin=0000 xout=5186 xout_ref=5186 yout=0001 yout_ref=0001 zout=323E zout_ref=323E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=78B0 yin=58B0 zin=0000 xout=43C5 xout_ref=43C5 yout=0000 yout_ref=0000 zout=3C19 zout_ref=3C19
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=51D4 yin=4000 zin=0000 xout=51D4 xout_ref=51D4 yout=FFFE yout_ref=FFFE zout=320E zout_ref=320E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7978 yin=5978 zin=0000 xout=4409 xout_ref=4409 yout=FFFE yout_ref=FFFE zout=3C59 zout_ref=3C59
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=5226 yin=4000 zin=0000 xout=5226 xout_ref=5226 yout=FFFF yout_ref=FFFF zout=31DE zout_ref=31DE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7A40 yin=5A40 zin=0000 xout=4447 xout_ref=4447 yout=FFFE yout_ref=FFFE zout=3C95 zout_ref=3C95
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=5271 yin=4000 zin=0000 xout=5271 xout_ref=5271 yout=0002 yout_ref=0002 zout=31AE zout_ref=31AE
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7B08 yin=5B08 zin=0000 xout=4489 xout_ref=4489 yout=FFFE yout_ref=FFFE zout=3CD1 zout_ref=3CD1
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=52C0 yin=4000 zin=0000 xout=52C0 xout_ref=52C0 yout=FFFC yout_ref=FFFC zout=3182 zout_ref=3182
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7BD0 yin=5BD0 zin=0000 xout=44C6 xout_ref=44C6 yout=FFFE yout_ref=FFFE zout=3D0D zout_ref=3D0D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=530A yin=4000 zin=0000 xout=530A xout_ref=530A yout=0001 yout_ref=0001 zout=3152 zout_ref=3152
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7C98 yin=5C98 zin=0000 xout=4509 xout_ref=4509 yout=FFFE yout_ref=FFFE zout=3D49 zout_ref=3D49
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=535B yin=4000 zin=0000 xout=535B xout_ref=535B yout=0000 yout_ref=0000 zout=3122 zout_ref=3122
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7D60 yin=5D60 zin=0000 xout=4549 xout_ref=4549 yout=FFFF yout_ref=FFFF zout=3D85 zout_ref=3D85
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=53A8 yin=4000 zin=0000 xout=53A8 xout_ref=53A8 yout=0002 yout_ref=0002 zout=30F6 zout_ref=30F6
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7E28 yin=5E28 zin=0000 xout=4587 xout_ref=4587 yout=FFFE yout_ref=FFFE zout=3DBD zout_ref=3DBD
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=53F3 yin=4000 zin=0000 xout=53F3 xout_ref=53F3 yout=FFFF yout_ref=FFFF zout=30CA zout_ref=30CA
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7EF0 yin=5EF0 zin=0000 xout=45C6 xout_ref=45C6 yout=FFFF yout_ref=FFFF zout=3DF5 zout_ref=3DF5
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=543F yin=4000 zin=0000 xout=543F xout_ref=543F yout=0000 yout_ref=0000 zout=309E zout_ref=309E
CORDIC OK: Number of cycles=73
 direction=0001 mode=0002 xin=7FB8 yin=5FB8 zin=0000 xout=4605 xout_ref=4605 yout=0001 yout_ref=0001 zout=3E2D zout_ref=3E2D
CORDIC OK: Number of cycles=78
 direction=0001 mode=0001 xin=548B yin=4000 zin=0000 xout=548B xout_ref=548B yout=0000 yout_ref=0000 zout=3072 zout_ref=3072
CORDIC OK: Number of cycles=73
CORDIC algorithm test has passed

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.