OpenCores
URL https://opencores.org/ocsvn/mesi_isc/mesi_isc/trunk

Subversion Repositories mesi_isc

[/] [mesi_isc/] [trunk/] [syn/] [mesi_isc.sta.rpt] - Rev 8

Go to most recent revision | Compare with Previous | Blame | View Log

TimeQuest Timing Analyzer report for mesi_isc
Tue Dec 25 14:04:07 2012
Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. TimeQuest Timing Analyzer Summary
  3. Parallel Compilation
  4. SDC File List
  5. Clocks
  6. Slow 1200mV 85C Model Fmax Summary
  7. Slow 1200mV 85C Model Setup Summary
  8. Slow 1200mV 85C Model Hold Summary
  9. Slow 1200mV 85C Model Recovery Summary
 10. Slow 1200mV 85C Model Removal Summary
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
 12. Slow 1200mV 85C Model Setup: 'clk'
 13. Slow 1200mV 85C Model Hold: 'clk'
 14. Slow 1200mV 85C Model Minimum Pulse Width: 'clk'
 15. Setup Times
 16. Hold Times
 17. Clock to Output Times
 18. Minimum Clock to Output Times
 19. Slow 1200mV 85C Model Metastability Report
 20. Slow 1200mV 0C Model Fmax Summary
 21. Slow 1200mV 0C Model Setup Summary
 22. Slow 1200mV 0C Model Hold Summary
 23. Slow 1200mV 0C Model Recovery Summary
 24. Slow 1200mV 0C Model Removal Summary
 25. Slow 1200mV 0C Model Minimum Pulse Width Summary
 26. Slow 1200mV 0C Model Setup: 'clk'
 27. Slow 1200mV 0C Model Hold: 'clk'
 28. Slow 1200mV 0C Model Minimum Pulse Width: 'clk'
 29. Setup Times
 30. Hold Times
 31. Clock to Output Times
 32. Minimum Clock to Output Times
 33. Slow 1200mV 0C Model Metastability Report
 34. Fast 1200mV 0C Model Setup Summary
 35. Fast 1200mV 0C Model Hold Summary
 36. Fast 1200mV 0C Model Recovery Summary
 37. Fast 1200mV 0C Model Removal Summary
 38. Fast 1200mV 0C Model Minimum Pulse Width Summary
 39. Fast 1200mV 0C Model Setup: 'clk'
 40. Fast 1200mV 0C Model Hold: 'clk'
 41. Fast 1200mV 0C Model Minimum Pulse Width: 'clk'
 42. Setup Times
 43. Hold Times
 44. Clock to Output Times
 45. Minimum Clock to Output Times
 46. Fast 1200mV 0C Model Metastability Report
 47. Multicorner Timing Analysis Summary
 48. Setup Times
 49. Hold Times
 50. Clock to Output Times
 51. Minimum Clock to Output Times
 52. Board Trace Model Assignments
 53. Input Transition Times
 54. Signal Integrity Metrics (Slow 1200mv 0c Model)
 55. Signal Integrity Metrics (Slow 1200mv 85c Model)
 56. Signal Integrity Metrics (Fast 1200mv 0c Model)
 57. Setup Transfers
 58. Hold Transfers
 59. Report TCCS
 60. Report RSKM
 61. Unconstrained Paths
 62. TimeQuest Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2012 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+--------------------------------------------------------------------------------------+
; TimeQuest Timing Analyzer Summary                                                    ;
+--------------------+-----------------------------------------------------------------+
; Quartus II Version ; Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition ;
; Revision Name      ; mesi_isc                                                        ;
; Device Family      ; Cyclone IV GX                                                   ;
; Device Name        ; EP4CGX30CF23C6                                                  ;
; Timing Models      ; Final                                                           ;
; Delay Model        ; Combined                                                        ;
; Rise/Fall Delays   ; Enabled                                                         ;
+--------------------+-----------------------------------------------------------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 4      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+---------------------------------------------------+
; SDC File List                                     ;
+---------------+--------+--------------------------+
; SDC File Path ; Status ; Read at                  ;
+---------------+--------+--------------------------+
; mesi_isc.sdc  ; OK     ; Tue Dec 25 14:04:05 2012 ;
+---------------+--------+--------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks                                                                                                                                                                          ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
; Clock Name ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
; clk        ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { clk } ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+


+--------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary               ;
+------------+-----------------+------------+------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
+------------+-----------------+------------+------+
; 107.07 MHz ; 107.07 MHz      ; clk        ;      ;
+------------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


+-------------------------------------+
; Slow 1200mV 85C Model Setup Summary ;
+-------+--------+--------------------+
; Clock ; Slack  ; End Point TNS      ;
+-------+--------+--------------------+
; clk   ; -8.340 ; -2724.862          ;
+-------+--------+--------------------+


+------------------------------------+
; Slow 1200mV 85C Model Hold Summary ;
+-------+--------+-------------------+
; Clock ; Slack  ; End Point TNS     ;
+-------+--------+-------------------+
; clk   ; -0.278 ; -0.443            ;
+-------+--------+-------------------+


------------------------------------------
; Slow 1200mV 85C Model Recovery Summary ;
------------------------------------------
No paths to report.


-----------------------------------------
; Slow 1200mV 85C Model Removal Summary ;
-----------------------------------------
No paths to report.


+---------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
+-------+--------+----------------------------------+
; Clock ; Slack  ; End Point TNS                    ;
+-------+--------+----------------------------------+
; clk   ; -3.000 ; -643.000                         ;
+-------+--------+----------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Setup: 'clk'                                                                                                                                                                                                                            ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -8.340 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.069      ;
; -8.340 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.069      ;
; -8.334 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.063      ;
; -8.334 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 6.063      ;
; -8.254 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.985      ;
; -8.239 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.968      ;
; -8.239 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.968      ;
; -8.230 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.959      ;
; -8.230 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.959      ;
; -8.225 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.954      ;
; -8.225 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.954      ;
; -8.219 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.950      ;
; -8.217 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.948      ;
; -8.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.944      ;
; -8.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.944      ;
; -8.212 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.942      ;
; -8.210 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.940      ;
; -8.189 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.918      ;
; -8.183 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.912      ;
; -8.165 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.895      ;
; -8.148 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.878      ;
; -8.135 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.865      ;
; -8.132 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.862      ;
; -8.097 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.827      ;
; -8.088 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.817      ;
; -8.079 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.808      ;
; -8.074 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.803      ;
; -8.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.793      ;
; -8.062 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.791      ;
; -8.058 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.789      ;
; -8.057 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.788      ;
; -8.056 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.785      ;
; -8.042 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.772      ;
; -8.037 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.768      ;
; -8.035 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.765      ;
; -8.033 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.763      ;
; -8.020 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.749      ;
; -8.020 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.749      ;
; -8.001 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.730      ;
; -8.001 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.730      ;
; -7.991 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.721      ;
; -7.968 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.698      ;
; -7.965 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.695      ;
; -7.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.693      ;
; -7.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.693      ;
; -7.961 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.690      ;
; -7.960 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.691      ;
; -7.952 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.681      ;
; -7.947 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.676      ;
; -7.943 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.672      ;
; -7.943 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.672      ;
; -7.937 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.666      ;
; -7.923 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.652      ;
; -7.923 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.652      ;
; -7.906 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.637      ;
; -7.904 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.634      ;
; -7.895 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.625      ;
; -7.893 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.622      ;
; -7.893 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.622      ;
; -7.871 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.601      ;
; -7.869 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.598      ;
; -7.864 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.594      ;
; -7.850 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.579      ;
; -7.844 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.575      ;
; -7.838 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.568      ;
; -7.835 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.565      ;
; -7.830 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.560      ;
; -7.813 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.542      ;
; -7.792 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.521      ;
; -7.784 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.514      ;
; -7.781 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.511      ;
; -7.772 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.501      ;
; -7.759 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.490      ;
; -7.742 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.471      ;
; -7.742 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.471      ;
; -7.733 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.464      ;
; -7.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.454      ;
; -7.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.452      ;
; -7.711 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.441      ;
; -7.705 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.435      ;
; -7.691 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.421      ;
; -7.686 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.415      ;
; -7.670 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.400      ;
; -7.665 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.394      ;
; -7.645 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.374      ;
; -7.639 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.150     ; 5.369      ;
; -7.618 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.349      ;
; -7.615 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -3.151     ; 5.344      ;
; -7.608 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.339      ;
; -7.571 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.302      ;
; -7.509 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.240      ;
; -7.497 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.228      ;
; -7.484 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.215      ;
; -7.378 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.109      ;
; -7.341 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 5.072      ;
; -7.147 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -3.149     ; 4.878      ;
; -6.379 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.124     ; 7.153      ;
; -6.364 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.124     ; 7.138      ;
; -6.340 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[27] ; clk          ; clk         ; 1.000        ; -0.122     ; 7.116      ;
; -6.328 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.120     ; 7.106      ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Hold: 'clk'                                                                                                                                                                                          ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -0.278 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.162      ; 2.941      ;
; -0.033 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 3.145      ; 3.169      ;
; -0.026 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 3.151      ; 3.182      ;
; -0.019 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.170      ;
; -0.018 ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.171      ;
; -0.018 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.176      ;
; -0.018 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.176      ;
; -0.015 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 3.167      ; 3.209      ;
; -0.015 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 3.167      ; 3.209      ;
; -0.003 ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 3.100      ; 3.154      ;
; 0.003  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.173      ; 3.233      ;
; 0.011  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.165      ; 3.233      ;
; 0.014  ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 3.094      ; 3.165      ;
; 0.015  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.193      ;
; 0.019  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.199      ;
; 0.029  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 3.115      ; 3.201      ;
; 0.034  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.115      ; 3.206      ;
; 0.036  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.130      ; 3.223      ;
; 0.037  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.220      ;
; 0.038  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 3.115      ; 3.210      ;
; 0.045  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.234      ;
; 0.048  ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.173      ; 3.278      ;
; 0.050  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 3.118      ; 3.225      ;
; 0.052  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 3.117      ; 3.226      ;
; 0.054  ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.107      ; 3.218      ;
; 0.056  ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.245      ;
; 0.058  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 3.172      ; 3.287      ;
; 0.059  ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.221      ;
; 0.060  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 3.123      ; 3.240      ;
; 0.065  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.131      ; 3.253      ;
; 0.069  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 3.127      ; 3.253      ;
; 0.071  ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 3.149      ; 3.277      ;
; 0.077  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.133      ; 3.267      ;
; 0.078  ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.267      ;
; 0.084  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 3.115      ; 3.256      ;
; 0.088  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.266      ;
; 0.088  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.268      ;
; 0.089  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.272      ;
; 0.090  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 3.097      ; 3.244      ;
; 0.094  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.256      ;
; 0.095  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.100      ; 3.252      ;
; 0.096  ; mbus_addr0_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 3.094      ; 3.247      ;
; 0.097  ; mbus_addr3_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 3.089      ; 3.243      ;
; 0.098  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.287      ;
; 0.098  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]                                          ; clk          ; clk         ; 0.000        ; 3.108      ; 3.263      ;
; 0.099  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.139      ; 3.295      ;
; 0.105  ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.306      ;
; 0.107  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 3.147      ; 3.311      ;
; 0.108  ; mbus_addr0_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.291      ;
; 0.108  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 3.141      ; 3.306      ;
; 0.109  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.290      ;
; 0.114  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 3.117      ; 3.288      ;
; 0.114  ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 3.172      ; 3.343      ;
; 0.114  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 3.127      ; 3.298      ;
; 0.115  ; mbus_addr0_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 3.106      ; 3.278      ;
; 0.115  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 3.144      ; 3.316      ;
; 0.116  ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.160      ; 3.333      ;
; 0.118  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 3.087      ; 3.262      ;
; 0.118  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.310      ;
; 0.120  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.303      ;
; 0.120  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 3.102      ; 3.279      ;
; 0.120  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 3.140      ; 3.317      ;
; 0.121  ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.315      ;
; 0.123  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
; 0.123  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
; 0.123  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.304      ;
; 0.123  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 3.131      ; 3.255      ;
; 0.124  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.316      ;
; 0.125  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 3.123      ; 3.305      ;
; 0.126  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 3.151      ; 3.334      ;
; 0.127  ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.308      ;
; 0.127  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.289      ;
; 0.129  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.101      ; 3.287      ;
; 0.130  ; mbus_addr2_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][25]                                          ; clk          ; clk         ; 0.000        ; 3.140      ; 3.327      ;
; 0.131  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 3.105      ; 3.293      ;
; 0.132  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 3.123      ; 3.312      ;
; 0.133  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 3.100      ; 3.290      ;
; 0.133  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]                                          ; clk          ; clk         ; 0.000        ; 3.137      ; 3.327      ;
; 0.134  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 3.095      ; 3.286      ;
; 0.135  ; mbus_addr3_i[1]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][10]                                          ; clk          ; clk         ; 0.000        ; 3.090      ; 3.282      ;
; 0.135  ; mbus_addr1_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.135      ; 3.327      ;
; 0.135  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 3.165      ; 3.357      ;
; 0.135  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 3.141      ; 3.333      ;
; 0.137  ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 3.132      ; 3.326      ;
; 0.137  ; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 3.128      ; 3.322      ;
; 0.138  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 3.113      ; 3.308      ;
; 0.138  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 3.105      ; 3.300      ;
; 0.141  ; mbus_addr0_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 3.124      ; 3.322      ;
; 0.143  ; mbus_addr3_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.107      ; 3.307      ;
; 0.143  ; mbus_addr0_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37]                                          ; clk          ; clk         ; 0.000        ; 3.106      ; 3.306      ;
; 0.144  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.345      ;
; 0.145  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29]                                          ; clk          ; clk         ; 0.000        ; 3.126      ; 3.328      ;
; 0.145  ; mbus_addr0_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 3.108      ; 3.310      ;
; 0.145  ; mbus_addr2_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 3.127      ; 3.329      ;
; 0.146  ; mbus_addr0_i[16] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25]                                          ; clk          ; clk         ; 0.000        ; 3.095      ; 3.298      ;
; 0.146  ; mbus_addr2_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 3.121      ; 3.324      ;
; 0.147  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 3.141      ; 3.345      ;
; 0.151  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[37]                                            ; clk          ; clk         ; 0.000        ; 3.117      ; 3.325      ;
; 0.151  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]                                            ; clk          ; clk         ; 0.000        ; 3.122      ; 3.330      ;
; 0.151  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 3.144      ; 3.352      ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width: 'clk'                                                                                                           ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+


+-------------------------------------------------------------------------------+
; Setup Times                                                                   ;
+-------------------+------------+-------+-------+------------+-----------------+
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-------------------+------------+-------+-------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.517 ; 0.748 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.631 ; 0.820 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; 0.850 ; 0.811 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; 0.769 ; 0.740 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.799 ; 0.938 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.638 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.551 ; 0.779 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.649 ; 0.885 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.609 ; 0.829 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.662 ; 0.895 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.572 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.616 ; 0.862 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.518 ; 0.868 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.528 ; 0.883 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.595 ; 0.833 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.619 ; 0.835 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.687 ; 0.871 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.456 ; 0.742 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.585 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.616 ; 0.854 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.560 ; 0.938 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.555 ; 0.788 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; 0.799 ; 0.824 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.627 ; 0.882 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.616 ; 0.845 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.566 ; 0.807 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.451 ; 0.745 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.428 ; 0.709 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.636 ; 0.846 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; 0.618 ; 0.864 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.531 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.504 ; 0.784 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.635 ; 0.888 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.551 ; 0.761 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.438 ; 0.740 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.511 ; 0.853 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.519 ; 0.756 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.883 ; 1.090 ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.531 ; 0.741 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.627 ; 0.900 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.527 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.632 ; 0.883 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.517 ; 0.839 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.475 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.699 ; 0.940 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.603 ; 0.934 ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.542 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.451 ; 0.769 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.450 ; 0.760 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.574 ; 0.838 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.375 ; 0.670 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.546 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.649 ; 0.823 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.832 ; 1.040 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.530 ; 0.778 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; 0.634 ; 0.727 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; 0.693 ; 0.783 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.610 ; 0.793 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.535 ; 0.810 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.633 ; 0.795 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.458 ; 0.696 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.444 ; 0.746 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.508 ; 0.763 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.883 ; 1.090 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.501 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.446 ; 0.721 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.440 ; 0.634 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.633 ; 0.894 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.541 ; 0.828 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.605 ; 0.873 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.533 ; 0.846 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.577 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.560 ; 0.794 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.371 ; 0.687 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.409 ; 0.777 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.435 ; 0.748 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.476 ; 0.741 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.694 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.459 ; 0.775 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.415 ; 0.702 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.685 ; 0.918 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.593 ; 0.857 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.497 ; 0.854 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.515 ; 0.852 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.533 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.520 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.636 ; 0.868 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.463 ; 0.790 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.496 ; 0.821 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; 0.557 ; 0.701 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.547 ; 0.661 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.559 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.460 ; 0.790 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.591 ; 0.863 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.493 ; 0.724 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.462 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.519 ; 0.805 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.483 ; 0.829 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.600 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.547 ; 0.774 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; 0.682 ; 0.961 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.779 ; 1.045 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; 0.620 ; 0.860 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.578 ; 0.796 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.616 ; 0.884 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.649 ; 0.844 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; 0.658 ; 0.899 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.512 ; 0.843 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.562 ; 0.812 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.779 ; 1.045 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.568 ; 0.834 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.589 ; 0.824 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.600 ; 0.858 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.650 ; 0.889 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.536 ; 0.869 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.599 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.522 ; 0.814 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.560 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.856 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.563 ; 0.835 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.649 ; 0.886 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.679 ; 0.959 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.603 ; 0.859 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.545 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.614 ; 0.794 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.526 ; 0.847 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; 0.581 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.515 ; 0.803 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.613 ; 0.973 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.703 ; 0.926 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.580 ; 0.804 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.708 ; 0.952 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.514 ; 0.807 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.535 ; 0.885 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.654 ; 0.838 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.602 ; 0.752 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.731 ; 0.996 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.621 ; 0.908 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.594 ; 0.775 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.633 ; 0.735 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.630 ; 0.950 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.642 ; 0.863 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
+-------------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Hold Times                                                                      ;
+-------------------+------------+--------+--------+------------+-----------------+
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-------------------+------------+--------+--------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.029  ; -0.226 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; -0.082 ; -0.297 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; -0.228 ; -0.341 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; -0.143 ; -0.135 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.115  ; -0.176 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; -0.162 ; -0.410 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; -0.063 ; -0.297 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; -0.073 ; -0.299 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; -0.071 ; -0.290 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; -0.078 ; -0.326 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; -0.141 ; -0.368 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; -0.162 ; -0.396 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.052  ; -0.259 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.103  ; -0.217 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; -0.041 ; -0.266 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; -0.151 ; -0.350 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; -0.138 ; -0.357 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.010  ; -0.299 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; -0.083 ; -0.283 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; -0.119 ; -0.353 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.086  ; -0.246 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; -0.046 ; -0.298 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; -0.274 ; -0.322 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; -0.087 ; -0.249 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; -0.008 ; -0.250 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; -0.009 ; -0.240 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.063  ; -0.229 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.005  ; -0.281 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; -0.100 ; -0.316 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; -0.188 ; -0.410 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; -0.015 ; -0.248 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.004  ; -0.280 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; -0.045 ; -0.289 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; -0.043 ; -0.279 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.002  ; -0.299 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.115  ; -0.176 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; -0.094 ; -0.325 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.378  ; 0.110  ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; -0.067 ; -0.275 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; -0.111 ; -0.355 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; -0.103 ; -0.355 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; -0.116 ; -0.364 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.118  ; -0.168 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.118  ; -0.198 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; -0.136 ; -0.365 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.378  ; 0.110  ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; -0.078 ; -0.312 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.035  ; -0.244 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; -0.007 ; -0.279 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; -0.082 ; -0.269 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.055  ; -0.253 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; -0.099 ; -0.317 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; -0.102 ; -0.294 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; -0.081 ; -0.296 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; -0.073 ; -0.315 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; -0.214 ; -0.318 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; -0.199 ; -0.292 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; -0.145 ; -0.334 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; -0.035 ; -0.279 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; -0.204 ; -0.378 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; -0.015 ; -0.194 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; -0.018 ; -0.308 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; -0.056 ; -0.302 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; -0.057 ; -0.214 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; -0.037 ; -0.356 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.119  ; -0.151 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; -0.014 ; -0.224 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; -0.099 ; -0.282 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.050  ; -0.214 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.022  ; -0.208 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.133  ; -0.176 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; -0.070 ; -0.251 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; -0.134 ; -0.352 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; -0.032 ; -0.283 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.081  ; -0.248 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.085  ; -0.285 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; -0.008 ; -0.317 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; -0.021 ; -0.286 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; -0.045 ; -0.176 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; -0.038 ; -0.315 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.006  ; -0.290 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; -0.118 ; -0.373 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; -0.133 ; -0.383 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.064  ; -0.304 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; -0.082 ; -0.399 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; -0.046 ; -0.307 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; -0.094 ; -0.369 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; -0.030 ; -0.302 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.002  ; -0.335 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; -0.037 ; -0.312 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; -0.131 ; -0.245 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; -0.084 ; -0.231 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; -0.126 ; -0.365 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.089  ; -0.211 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; -0.154 ; -0.433 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; -0.058 ; -0.305 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.133  ; -0.221 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; -0.092 ; -0.384 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; -0.215 ; -0.434 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; -0.058 ; -0.323 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; -0.118 ; -0.372 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; -0.066 ; -0.273 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; -0.236 ; -0.518 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.046  ; -0.136 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; -0.143 ; -0.423 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; -0.035 ; -0.279 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; -0.108 ; -0.359 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; -0.194 ; -0.418 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; -0.223 ; -0.475 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.041  ; -0.254 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; -0.134 ; -0.378 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; -0.016 ; -0.225 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; -0.130 ; -0.385 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; -0.071 ; -0.298 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; -0.066 ; -0.293 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.044  ; -0.136 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.046  ; -0.267 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; -0.116 ; -0.339 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; -0.027 ; -0.302 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; -0.085 ; -0.304 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; -0.139 ; -0.302 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; -0.128 ; -0.377 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; -0.133 ; -0.367 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; -0.018 ; -0.321 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; -0.043 ; -0.282 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; -0.108 ; -0.294 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; -0.145 ; -0.342 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.003  ; -0.309 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; -0.123 ; -0.435 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; -0.083 ; -0.371 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; -0.083 ; -0.419 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; -0.092 ; -0.260 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; -0.120 ; -0.373 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; -0.067 ; -0.245 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; -0.080 ; -0.391 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; -0.101 ; -0.428 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.071  ; -0.071 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.066  ; -0.096 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; -0.134 ; -0.300 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.071  ; -0.071 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.097  ; -0.077 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; -0.014 ; -0.303 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.097  ; -0.077 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.042  ; -0.246 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; -0.005 ; -0.130 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; -0.051 ; -0.186 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; -0.005 ; -0.130 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; -0.044 ; -0.211 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.126  ; -0.137 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.126  ; -0.137 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; -0.026 ; -0.262 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; -0.153 ; -0.412 ; Rise       ; clk             ;
+-------------------+------------+--------+--------+------------+-----------------+


+------------------------------------------------------------------------------+
; Clock to Output Times                                                        ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 6.025 ; 5.982 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 6.050 ; 6.007 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 5.992 ; 5.949 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 6.014 ; 5.971 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 5.986 ; 5.943 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 6.024 ; 5.981 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 6.071 ; 6.028 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 6.037 ; 5.994 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 6.060 ; 6.017 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 5.987 ; 5.944 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 6.046 ; 6.003 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 6.055 ; 6.012 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 6.058 ; 6.015 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 6.003 ; 5.960 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 5.994 ; 5.951 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 5.999 ; 5.956 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 6.006 ; 5.963 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 5.993 ; 5.957 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 6.033 ; 5.990 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 6.027 ; 5.984 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 6.059 ; 6.016 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 9.110 ; 9.097 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 9.110 ; 8.961 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 9.095 ; 9.097 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 8.955 ; 9.012 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 9.105 ; 9.047 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 8.844 ; 8.748 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 8.900 ; 8.767 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 9.119 ; 9.092 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 8.823 ; 8.697 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 8.786 ; 8.716 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 6.000 ; 5.957 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 6.008 ; 5.965 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 6.040 ; 5.997 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 6.047 ; 6.004 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 5.861 ; 5.819 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 5.900 ; 5.858 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 5.926 ; 5.884 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 5.868 ; 5.826 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 5.890 ; 5.848 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 5.861 ; 5.819 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 5.900 ; 5.858 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 5.948 ; 5.906 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 5.914 ; 5.872 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 5.936 ; 5.894 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 5.871 ; 5.829 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 5.981 ; 5.939 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 5.863 ; 5.821 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 5.923 ; 5.881 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 5.933 ; 5.891 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 5.935 ; 5.893 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 5.878 ; 5.836 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 5.881 ; 5.839 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 5.888 ; 5.846 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 5.870 ; 5.828 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 5.887 ; 5.845 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 5.875 ; 5.833 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 5.882 ; 5.840 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 5.869 ; 5.834 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 5.908 ; 5.866 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 5.880 ; 5.838 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 5.903 ; 5.861 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 5.935 ; 5.893 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 5.871 ; 5.829 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 7.909 ; 7.938 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 8.048 ; 8.062 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 8.047 ; 8.132 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 7.909 ; 7.938 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 7.754 ; 7.673 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 8.327 ; 8.341 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 8.157 ; 8.168 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 7.754 ; 7.673 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 8.073 ; 8.110 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 8.073 ; 8.110 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 8.265 ; 8.350 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 8.201 ; 8.117 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 8.001 ; 7.986 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 8.164 ; 8.155 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 8.001 ; 7.986 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 8.011 ; 8.062 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 5.876 ; 5.834 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 5.882 ; 5.840 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 5.917 ; 5.875 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 5.923 ; 5.881 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


----------------------------------------------
; Slow 1200mV 85C Model Metastability Report ;
----------------------------------------------
No synchronizer chains to report.


+--------------------------------------------------+
; Slow 1200mV 0C Model Fmax Summary                ;
+------------+-----------------+------------+------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note ;
+------------+-----------------+------------+------+
; 119.23 MHz ; 119.23 MHz      ; clk        ;      ;
+------------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


+------------------------------------+
; Slow 1200mV 0C Model Setup Summary ;
+-------+--------+-------------------+
; Clock ; Slack  ; End Point TNS     ;
+-------+--------+-------------------+
; clk   ; -7.387 ; -2375.975         ;
+-------+--------+-------------------+


+-----------------------------------+
; Slow 1200mV 0C Model Hold Summary ;
+-------+--------+------------------+
; Clock ; Slack  ; End Point TNS    ;
+-------+--------+------------------+
; clk   ; -0.237 ; -0.279           ;
+-------+--------+------------------+


-----------------------------------------
; Slow 1200mV 0C Model Recovery Summary ;
-----------------------------------------
No paths to report.


----------------------------------------
; Slow 1200mV 0C Model Removal Summary ;
----------------------------------------
No paths to report.


+--------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
+-------+--------+---------------------------------+
; Clock ; Slack  ; End Point TNS                   ;
+-------+--------+---------------------------------+
; clk   ; -3.000 ; -643.000                        ;
+-------+--------+---------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Setup: 'clk'                                                                                                                                                                                                                             ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -7.387 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.418      ;
; -7.387 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.418      ;
; -7.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.380      ;
; -7.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.380      ;
; -7.324 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.356      ;
; -7.314 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.346      ;
; -7.308 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.339      ;
; -7.308 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.339      ;
; -7.305 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.336      ;
; -7.305 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.336      ;
; -7.294 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.325      ;
; -7.294 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.325      ;
; -7.274 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.306      ;
; -7.261 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.292      ;
; -7.258 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.289      ;
; -7.256 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.287      ;
; -7.241 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.272      ;
; -7.241 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.272      ;
; -7.240 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.271      ;
; -7.220 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.251      ;
; -7.208 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.239      ;
; -7.190 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.221      ;
; -7.179 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.210      ;
; -7.176 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.207      ;
; -7.170 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.201      ;
; -7.170 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.201      ;
; -7.165 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.196      ;
; -7.141 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.172      ;
; -7.141 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.173      ;
; -7.137 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.168      ;
; -7.116 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.148      ;
; -7.113 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.145      ;
; -7.112 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.143      ;
; -7.103 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.134      ;
; -7.102 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.133      ;
; -7.102 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.133      ;
; -7.099 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.130      ;
; -7.098 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.129      ;
; -7.096 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.127      ;
; -7.096 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.127      ;
; -7.065 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.096      ;
; -7.065 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.096      ;
; -7.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.095      ;
; -7.064 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.095      ;
; -7.062 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.093      ;
; -7.060 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.092      ;
; -7.059 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.090      ;
; -7.048 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.079      ;
; -7.032 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 5.064      ;
; -7.027 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.058      ;
; -7.027 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.058      ;
; -7.019 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.050      ;
; -6.998 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.029      ;
; -6.998 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.029      ;
; -6.995 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.026      ;
; -6.988 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.019      ;
; -6.988 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.019      ;
; -6.973 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 5.004      ;
; -6.968 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.999      ;
; -6.964 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.995      ;
; -6.949 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.980      ;
; -6.942 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.973      ;
; -6.936 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.967      ;
; -6.935 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.966      ;
; -6.932 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.963      ;
; -6.928 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.959      ;
; -6.921 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.952      ;
; -6.915 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.946      ;
; -6.908 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.940      ;
; -6.906 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.937      ;
; -6.898 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.929      ;
; -6.869 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.900      ;
; -6.859 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.890      ;
; -6.856 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.887      ;
; -6.855 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.886      ;
; -6.840 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.872      ;
; -6.828 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.860      ;
; -6.826 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.857      ;
; -6.819 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.850      ;
; -6.818 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.849      ;
; -6.816 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.847      ;
; -6.795 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.827      ;
; -6.783 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.814      ;
; -6.781 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.812      ;
; -6.762 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.794      ;
; -6.752 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.783      ;
; -6.747 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.778      ;
; -6.736 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.768      ;
; -6.736 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -2.849     ; 4.767      ;
; -6.723 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.755      ;
; -6.659 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.691      ;
; -6.652 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.684      ;
; -6.599 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.631      ;
; -6.504 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.536      ;
; -6.487 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.519      ;
; -6.343 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -2.848     ; 4.375      ;
; -5.625 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.115     ; 6.413      ;
; -5.610 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.115     ; 6.398      ;
; -5.578 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[27] ; clk          ; clk         ; 1.000        ; -0.113     ; 6.368      ;
; -5.574 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.111     ; 6.366      ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Hold: 'clk'                                                                                                                                                                                           ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -0.237 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.858      ; 2.665      ;
; -0.028 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 2.842      ; 2.858      ;
; -0.014 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 2.848      ; 2.878      ;
; 0.003  ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 2.864      ; 2.911      ;
; 0.003  ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 2.864      ; 2.911      ;
; 0.021  ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 2.901      ;
; 0.022  ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 2.902      ;
; 0.029  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.901      ;
; 0.032  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 2.797      ; 2.873      ;
; 0.033  ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.905      ;
; 0.036  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.908      ;
; 0.042  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.817      ; 2.903      ;
; 0.043  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 2.817      ; 2.904      ;
; 0.046  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 2.818      ; 2.908      ;
; 0.050  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 2.824      ; 2.918      ;
; 0.050  ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.817      ; 2.911      ;
; 0.056  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.920      ;
; 0.059  ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 2.792      ; 2.895      ;
; 0.060  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 2.862      ; 2.966      ;
; 0.064  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 2.936      ;
; 0.066  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 2.872      ; 2.982      ;
; 0.069  ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 2.942      ;
; 0.073  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 2.938      ;
; 0.074  ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.871      ; 2.989      ;
; 0.085  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.001      ;
; 0.091  ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 2.817      ; 2.952      ;
; 0.093  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 2.957      ;
; 0.095  ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.804      ; 2.943      ;
; 0.098  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][19]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.962      ;
; 0.099  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 2.842      ; 2.985      ;
; 0.105  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 2.814      ; 2.963      ;
; 0.109  ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.803      ; 2.956      ;
; 0.109  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 2.982      ;
; 0.111  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 2.834      ; 2.989      ;
; 0.114  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 2.978      ;
; 0.117  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 2.797      ; 2.958      ;
; 0.117  ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 2.815      ; 2.976      ;
; 0.119  ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 2.818      ; 2.981      ;
; 0.121  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 2.794      ; 2.959      ;
; 0.122  ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 2.987      ;
; 0.122  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 2.968      ;
; 0.124  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38]                                          ; clk          ; clk         ; 0.000        ; 2.803      ; 2.971      ;
; 0.126  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 2.838      ; 3.008      ;
; 0.129  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][34]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.008      ;
; 0.130  ; mbus_addr3_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 2.786      ; 2.960      ;
; 0.130  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 2.822      ; 2.996      ;
; 0.131  ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[19]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 2.995      ;
; 0.133  ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.049      ;
; 0.136  ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 3.008      ;
; 0.136  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 3.009      ;
; 0.137  ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 2.848      ; 3.029      ;
; 0.140  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 2.798      ; 2.982      ;
; 0.141  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 2.832      ; 3.017      ;
; 0.142  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30]                                          ; clk          ; clk         ; 0.000        ; 2.819      ; 3.005      ;
; 0.143  ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.059      ;
; 0.146  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 2.799      ; 2.989      ;
; 0.147  ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.011      ;
; 0.147  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 2.783      ; 2.974      ;
; 0.147  ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 2.820      ; 3.011      ;
; 0.150  ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 2.996      ;
; 0.150  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 2.836      ; 3.030      ;
; 0.151  ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 2.797      ; 2.992      ;
; 0.151  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.016      ;
; 0.154  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 2.793      ; 2.991      ;
; 0.155  ; mbus_addr2_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 2.802      ; 3.001      ;
; 0.156  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 2.833      ; 3.033      ;
; 0.158  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 2.848      ; 3.050      ;
; 0.159  ; mbus_addr1_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 2.824      ; 3.027      ;
; 0.160  ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 2.828      ; 3.032      ;
; 0.160  ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 2.838      ; 3.042      ;
; 0.160  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.045      ;
; 0.164  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 2.848      ; 3.056      ;
; 0.166  ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 2.862      ; 3.072      ;
; 0.166  ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[34]                                            ; clk          ; clk         ; 0.000        ; 2.835      ; 3.045      ;
; 0.169  ; mbus_addr0_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.034      ;
; 0.171  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 2.809      ; 3.024      ;
; 0.172  ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.036      ;
; 0.172  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 2.872      ; 3.088      ;
; 0.172  ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.051      ;
; 0.173  ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][16]                                          ; clk          ; clk         ; 0.000        ; 2.835      ; 3.052      ;
; 0.174  ; mbus_addr0_i[26] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35]                                          ; clk          ; clk         ; 0.000        ; 2.792      ; 3.010      ;
; 0.174  ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 2.830      ; 2.993      ;
; 0.174  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 2.802      ; 3.020      ;
; 0.174  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][17]                                          ; clk          ; clk         ; 0.000        ; 2.799      ; 3.017      ;
; 0.174  ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[32]                                            ; clk          ; clk         ; 0.000        ; 2.832      ; 3.050      ;
; 0.175  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 2.814      ; 3.033      ;
; 0.176  ; mbus_addr0_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]                                            ; clk          ; clk         ; 0.000        ; 2.816      ; 3.036      ;
; 0.177  ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 2.829      ; 3.050      ;
; 0.178  ; mbus_addr0_i[20] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29]                                          ; clk          ; clk         ; 0.000        ; 2.819      ; 3.041      ;
; 0.179  ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]                                            ; clk          ; clk         ; 0.000        ; 2.819      ; 3.042      ;
; 0.184  ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.069      ;
; 0.185  ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 2.853      ; 3.082      ;
; 0.185  ; mbus_addr0_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 2.804      ; 3.033      ;
; 0.186  ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 2.793      ; 3.023      ;
; 0.189  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 2.820      ; 3.053      ;
; 0.191  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][28]                                          ; clk          ; clk         ; 0.000        ; 2.781      ; 3.016      ;
; 0.191  ; mbus_addr2_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 2.821      ; 3.056      ;
; 0.191  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 2.833      ; 3.068      ;
; 0.192  ; mbus_addr2_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[17]                                            ; clk          ; clk         ; 0.000        ; 2.802      ; 3.038      ;
; 0.192  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; clk          ; clk         ; 0.000        ; 2.841      ; 3.077      ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width: 'clk'                                                                                                            ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+


+-------------------------------------------------------------------------------+
; Setup Times                                                                   ;
+-------------------+------------+-------+-------+------------+-----------------+
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-------------------+------------+-------+-------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.487 ; 0.701 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.610 ; 0.742 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; 0.764 ; 0.796 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; 0.690 ; 0.731 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.730 ; 0.820 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.644 ; 0.774 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.558 ; 0.701 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.651 ; 0.799 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.611 ; 0.755 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.660 ; 0.815 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.583 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.630 ; 0.775 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.493 ; 0.766 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.509 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.608 ; 0.756 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.626 ; 0.768 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.690 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.431 ; 0.651 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.603 ; 0.692 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.618 ; 0.771 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.485 ; 0.767 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.569 ; 0.706 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; 0.730 ; 0.820 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.631 ; 0.795 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.611 ; 0.760 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.579 ; 0.729 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.425 ; 0.658 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.396 ; 0.625 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.627 ; 0.773 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; 0.637 ; 0.785 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.543 ; 0.735 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.521 ; 0.713 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.650 ; 0.807 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.565 ; 0.690 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.422 ; 0.644 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.489 ; 0.758 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.529 ; 0.681 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.890 ; 0.976 ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.549 ; 0.661 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.638 ; 0.808 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.543 ; 0.715 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.644 ; 0.797 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.497 ; 0.733 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.461 ; 0.705 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.715 ; 0.841 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.549 ; 0.801 ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.549 ; 0.710 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.438 ; 0.667 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.376 ; 0.620 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.589 ; 0.749 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.325 ; 0.529 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.547 ; 0.704 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.642 ; 0.747 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.810 ; 0.941 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.535 ; 0.696 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; 0.582 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; 0.625 ; 0.767 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.617 ; 0.720 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.559 ; 0.729 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.639 ; 0.725 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.491 ; 0.627 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.421 ; 0.649 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.528 ; 0.681 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.890 ; 0.976 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.475 ; 0.709 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.451 ; 0.619 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.453 ; 0.574 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.641 ; 0.792 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.542 ; 0.723 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.628 ; 0.782 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.799 ; 0.946 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.560 ; 0.757 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.588 ; 0.726 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.568 ; 0.710 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.363 ; 0.604 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.391 ; 0.674 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.408 ; 0.658 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.470 ; 0.637 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.634 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.437 ; 0.677 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.398 ; 0.621 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.678 ; 0.831 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.613 ; 0.769 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.472 ; 0.750 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.497 ; 0.742 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.524 ; 0.680 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.538 ; 0.712 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.661 ; 0.778 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.452 ; 0.685 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.495 ; 0.705 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; 0.510 ; 0.732 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.559 ; 0.585 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.572 ; 0.723 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.427 ; 0.654 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.605 ; 0.768 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.509 ; 0.648 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.411 ; 0.690 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.545 ; 0.716 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.799 ; 0.946 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.471 ; 0.717 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.605 ; 0.774 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.564 ; 0.688 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; 0.693 ; 0.869 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.786 ; 0.939 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; 0.629 ; 0.777 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.581 ; 0.714 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.621 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.672 ; 0.762 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; 0.669 ; 0.812 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.509 ; 0.737 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.570 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.786 ; 0.939 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.591 ; 0.749 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.619 ; 0.730 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.608 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.662 ; 0.792 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.504 ; 0.757 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.614 ; 0.721 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.519 ; 0.705 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.562 ; 0.701 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.782 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.577 ; 0.740 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.655 ; 0.803 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.634 ; 0.841 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.615 ; 0.773 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.555 ; 0.703 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.647 ; 0.706 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.504 ; 0.748 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; 0.603 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.486 ; 0.704 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.587 ; 0.851 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.716 ; 0.829 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.586 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.730 ; 0.856 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.482 ; 0.708 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.516 ; 0.789 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.700 ; 0.839 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.638 ; 0.770 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.700 ; 0.839 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.601 ; 0.684 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.755 ; 0.959 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.686 ; 0.908 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.533 ; 0.768 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.755 ; 0.959 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.599 ; 0.688 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.583 ; 0.676 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.585 ; 0.688 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.599 ; 0.685 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.629 ; 0.808 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.558 ; 0.784 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.619 ; 0.752 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.629 ; 0.808 ; Rise       ; clk             ;
+-------------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Hold Times                                                                      ;
+-------------------+------------+--------+--------+------------+-----------------+
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-------------------+------------+--------+--------+------------+-----------------+
; cbus_ack0_i       ; clk        ; -0.037 ; -0.198 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; -0.133 ; -0.265 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; -0.205 ; -0.359 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; -0.152 ; -0.170 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.097  ; -0.103 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; -0.211 ; -0.372 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; -0.128 ; -0.265 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; -0.134 ; -0.265 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; -0.133 ; -0.267 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; -0.137 ; -0.296 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; -0.194 ; -0.338 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; -0.219 ; -0.358 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.026  ; -0.200 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.068  ; -0.171 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; -0.112 ; -0.241 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; -0.196 ; -0.330 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; -0.203 ; -0.325 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; -0.021 ; -0.251 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; -0.145 ; -0.250 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; -0.175 ; -0.319 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.041  ; -0.201 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; -0.113 ; -0.268 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; -0.259 ; -0.363 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; -0.141 ; -0.219 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; -0.069 ; -0.222 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; -0.078 ; -0.215 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.027  ; -0.181 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; -0.017 ; -0.231 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; -0.156 ; -0.291 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; -0.249 ; -0.372 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; -0.085 ; -0.237 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; -0.074 ; -0.256 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; -0.110 ; -0.266 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; -0.109 ; -0.251 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; -0.024 ; -0.257 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.097  ; -0.103 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; -0.149 ; -0.301 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.337  ; 0.156  ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; -0.139 ; -0.242 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; -0.169 ; -0.320 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; -0.166 ; -0.323 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; -0.180 ; -0.331 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.067  ; -0.126 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.079  ; -0.146 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; -0.202 ; -0.324 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.337  ; 0.156  ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; -0.139 ; -0.286 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; -0.009 ; -0.191 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.002  ; -0.183 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; -0.143 ; -0.245 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.064  ; -0.160 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; -0.141 ; -0.287 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; -0.150 ; -0.266 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; -0.136 ; -0.264 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; -0.131 ; -0.279 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; -0.205 ; -0.357 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; -0.179 ; -0.327 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; -0.206 ; -0.308 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; -0.107 ; -0.251 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; -0.253 ; -0.350 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; -0.093 ; -0.173 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; -0.041 ; -0.260 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; -0.119 ; -0.268 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; -0.127 ; -0.196 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; -0.059 ; -0.291 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.071  ; -0.100 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; -0.075 ; -0.203 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; -0.164 ; -0.252 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; -0.005 ; -0.170 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; -0.060 ; -0.188 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.128  ; -0.157 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; -0.128 ; -0.233 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; -0.197 ; -0.324 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; -0.089 ; -0.249 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.044  ; -0.211 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.054  ; -0.234 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; -0.026 ; -0.269 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; -0.072 ; -0.226 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; -0.108 ; -0.167 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; -0.074 ; -0.274 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; -0.022 ; -0.250 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; -0.172 ; -0.337 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; -0.188 ; -0.347 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.036  ; -0.253 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; -0.108 ; -0.336 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; -0.095 ; -0.253 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; -0.156 ; -0.334 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; -0.096 ; -0.271 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; -0.036 ; -0.274 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; -0.077 ; -0.254 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; -0.126 ; -0.305 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; -0.134 ; -0.209 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; -0.185 ; -0.331 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.040  ; -0.161 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; -0.218 ; -0.387 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; -0.124 ; -0.271 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.128  ; -0.157 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; -0.160 ; -0.338 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; -0.262 ; -0.388 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; -0.091 ; -0.266 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; -0.171 ; -0.329 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; -0.133 ; -0.247 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; -0.290 ; -0.471 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.005  ; -0.116 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; -0.208 ; -0.379 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; -0.101 ; -0.254 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; -0.168 ; -0.324 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; -0.259 ; -0.366 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; -0.272 ; -0.424 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; -0.009 ; -0.210 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; -0.186 ; -0.341 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; -0.085 ; -0.200 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; -0.183 ; -0.344 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; -0.132 ; -0.261 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; -0.126 ; -0.265 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; -0.036 ; -0.116 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.005  ; -0.220 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; -0.171 ; -0.304 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; -0.047 ; -0.251 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; -0.133 ; -0.274 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; -0.201 ; -0.282 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; -0.186 ; -0.336 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; -0.193 ; -0.333 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; -0.047 ; -0.265 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; -0.118 ; -0.250 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; -0.169 ; -0.267 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; -0.212 ; -0.310 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; -0.030 ; -0.260 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; -0.184 ; -0.396 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; -0.100 ; -0.317 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; -0.113 ; -0.354 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; -0.157 ; -0.232 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; -0.182 ; -0.335 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; -0.136 ; -0.226 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; -0.096 ; -0.333 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; -0.119 ; -0.373 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.058  ; -0.084 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.058  ; -0.118 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; -0.139 ; -0.258 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.057  ; -0.084 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.034  ; -0.047 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; -0.033 ; -0.238 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.034  ; -0.047 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.015  ; -0.181 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; -0.060 ; -0.103 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; -0.092 ; -0.159 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; -0.084 ; -0.103 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; -0.060 ; -0.196 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.114  ; -0.121 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.114  ; -0.121 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; -0.058 ; -0.208 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; -0.148 ; -0.352 ; Rise       ; clk             ;
+-------------------+------------+--------+--------+------------+-----------------+


+------------------------------------------------------------------------------+
; Clock to Output Times                                                        ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 5.453 ; 5.412 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 5.354 ; 5.330 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 5.398 ; 5.357 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 5.329 ; 5.305 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 5.321 ; 5.297 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 5.341 ; 5.317 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 5.312 ; 5.288 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 5.351 ; 5.327 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 5.420 ; 5.379 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 5.382 ; 5.341 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 5.331 ; 5.307 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 5.408 ; 5.367 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 5.325 ; 5.301 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 5.453 ; 5.412 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 5.314 ; 5.290 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 5.393 ; 5.352 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 5.401 ; 5.360 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 5.405 ; 5.364 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 5.332 ; 5.308 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 5.330 ; 5.306 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 5.334 ; 5.310 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 5.339 ; 5.315 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 5.321 ; 5.297 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 5.341 ; 5.317 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 5.327 ; 5.303 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 5.333 ; 5.309 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 5.330 ; 5.311 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 5.331 ; 5.307 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 5.362 ; 5.338 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 5.334 ; 5.310 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 5.354 ; 5.330 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 5.406 ; 5.365 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 5.325 ; 5.301 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 8.194 ; 8.149 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 8.121 ; 8.059 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 8.194 ; 8.149 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 8.088 ; 8.045 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 8.229 ; 8.160 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 8.229 ; 8.160 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 8.204 ; 8.086 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 7.945 ; 7.840 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 8.267 ; 8.217 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 7.944 ; 7.911 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 8.174 ; 8.120 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 8.267 ; 8.217 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 8.017 ; 8.008 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 7.868 ; 7.825 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 7.912 ; 7.790 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 8.017 ; 8.008 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 5.329 ; 5.305 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 5.336 ; 5.312 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 5.387 ; 5.346 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 5.395 ; 5.354 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 5.203 ; 5.180 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 5.244 ; 5.221 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 5.287 ; 5.247 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 5.220 ; 5.197 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 5.211 ; 5.188 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 5.232 ; 5.209 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 5.203 ; 5.180 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 5.242 ; 5.219 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 5.309 ; 5.269 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 5.273 ; 5.233 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 5.221 ; 5.198 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 5.297 ; 5.257 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 5.215 ; 5.192 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 5.343 ; 5.303 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 5.205 ; 5.182 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 5.283 ; 5.243 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 5.292 ; 5.252 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 5.296 ; 5.256 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 5.225 ; 5.202 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 5.230 ; 5.207 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 5.212 ; 5.189 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 5.231 ; 5.208 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 5.218 ; 5.195 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 5.224 ; 5.201 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 5.219 ; 5.201 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 5.222 ; 5.199 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 5.252 ; 5.229 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 5.225 ; 5.202 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 5.245 ; 5.222 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 5.296 ; 5.256 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 5.215 ; 5.192 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 7.136 ; 7.098 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 7.186 ; 7.230 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 7.262 ; 7.281 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 7.136 ; 7.098 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 6.982 ; 6.860 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 7.454 ; 7.482 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 7.367 ; 7.317 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 6.982 ; 6.860 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 7.237 ; 7.256 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 7.237 ; 7.297 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 7.433 ; 7.482 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 7.359 ; 7.256 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 7.214 ; 7.158 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 7.294 ; 7.336 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 7.214 ; 7.158 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 7.228 ; 7.213 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 5.219 ; 5.196 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 5.226 ; 5.203 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 5.277 ; 5.237 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 5.284 ; 5.244 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


---------------------------------------------
; Slow 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+------------------------------------+
; Fast 1200mV 0C Model Setup Summary ;
+-------+--------+-------------------+
; Clock ; Slack  ; End Point TNS     ;
+-------+--------+-------------------+
; clk   ; -4.682 ; -1313.549         ;
+-------+--------+-------------------+


+-----------------------------------+
; Fast 1200mV 0C Model Hold Summary ;
+-------+--------+------------------+
; Clock ; Slack  ; End Point TNS    ;
+-------+--------+------------------+
; clk   ; -0.271 ; -3.470           ;
+-------+--------+------------------+


-----------------------------------------
; Fast 1200mV 0C Model Recovery Summary ;
-----------------------------------------
No paths to report.


----------------------------------------
; Fast 1200mV 0C Model Removal Summary ;
----------------------------------------
No paths to report.


+--------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
+-------+--------+---------------------------------+
; Clock ; Slack  ; End Point TNS                   ;
+-------+--------+---------------------------------+
; clk   ; -3.000 ; -651.247                        ;
+-------+--------+---------------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Setup: 'clk'                                                                                                                                                                                                                             ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                                                            ; To Node                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -4.682 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.673      ;
; -4.679 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.670      ;
; -4.646 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.639      ;
; -4.626 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.617      ;
; -4.626 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.617      ;
; -4.624 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.616      ;
; -4.614 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.606      ;
; -4.608 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.601      ;
; -4.595 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.586      ;
; -4.594 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.587      ;
; -4.590 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.581      ;
; -4.587 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.578      ;
; -4.583 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.575      ;
; -4.572 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.564      ;
; -4.568 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.560      ;
; -4.560 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.551      ;
; -4.560 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.551      ;
; -4.557 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.549      ;
; -4.552 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.543      ;
; -4.552 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.543      ;
; -4.547 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.538      ;
; -4.547 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.538      ;
; -4.542 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.533      ;
; -4.535 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.528      ;
; -4.534 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.526      ;
; -4.531 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.524      ;
; -4.527 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.518      ;
; -4.513 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.505      ;
; -4.509 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.500      ;
; -4.506 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.497      ;
; -4.505 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.497      ;
; -4.503 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.494      ;
; -4.491 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.484      ;
; -4.482 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.473      ;
; -4.476 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.467      ;
; -4.471 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.463      ;
; -4.468 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.459      ;
; -4.468 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.460      ;
; -4.463 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.454      ;
; -4.458 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.451      ;
; -4.456 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.448      ;
; -4.438 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.430      ;
; -4.435 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.426      ;
; -4.433 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.425      ;
; -4.431 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.422      ;
; -4.428 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.419      ;
; -4.422 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.413      ;
; -4.416 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.407      ;
; -4.408 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.399      ;
; -4.406 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.398      ;
; -4.403 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.394      ;
; -4.397 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.389      ;
; -4.392 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.384      ;
; -4.380 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.371      ;
; -4.380 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.371      ;
; -4.379 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.371      ;
; -4.378 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[2] ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.370      ;
; -4.374 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.367      ;
; -4.369 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.362      ;
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.359      ;
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.358      ;
; -4.367 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.358      ;
; -4.354 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.345      ;
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.344      ;
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.346      ;
; -4.353 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.344      ;
; -4.349 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.341      ;
; -4.344 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.335      ;
; -4.337 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.329      ;
; -4.317 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.310      ;
; -4.316 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[2]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.307      ;
; -4.316 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[0]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.307      ;
; -4.303 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[8]                               ; cbus_cmd0_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.295      ;
; -4.302 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.295      ;
; -4.296 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.288      ;
; -4.296 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.287      ;
; -4.291 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.283      ;
; -4.283 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.274      ;
; -4.278 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.270      ;
; -4.276 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.267      ;
; -4.271 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[7]                               ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.888     ; 3.263      ;
; -4.269 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.260      ;
; -4.236 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.227      ;
; -4.232 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[1]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.223      ;
; -4.223 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.214      ;
; -4.222 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd2_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.215      ;
; -4.215 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.208      ;
; -4.209 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.200      ;
; -4.189 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[3] ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.182      ;
; -4.184 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.177      ;
; -4.172 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_broad_array[3]     ; cbus_cmd3_o[1]                                                          ; clk          ; clk         ; 1.000        ; -1.889     ; 3.163      ;
; -4.161 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd3_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.154      ;
; -4.147 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[0]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.140      ;
; -4.122 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[1] ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.115      ;
; -4.108 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|cbus_active_en_access_array[0] ; cbus_cmd0_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 3.101      ;
; -3.944 ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                ; cbus_cmd1_o[2]                                                          ; clk          ; clk         ; 1.000        ; -1.887     ; 2.937      ;
; -3.275 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.078     ; 4.136      ;
; -3.269 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.073     ; 4.135      ;
; -3.266 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[11] ; clk          ; clk         ; 1.000        ; -0.078     ; 4.127      ;
; -3.260 ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|status_empty                      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_basic_fifo:broad_fifo|data_o[33] ; clk          ; clk         ; 1.000        ; -0.073     ; 4.126      ;
+--------+------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Hold: 'clk'                                                                                                                                                                                           ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node        ; To Node                                                                                                                  ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -0.271 ; mbus_addr1_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.900      ; 1.613      ;
; -0.157 ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.911      ; 1.738      ;
; -0.121 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.735      ;
; -0.119 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.755      ;
; -0.107 ; mbus_addr1_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.749      ;
; -0.095 ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[3]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.800      ;
; -0.095 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.772      ;
; -0.094 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.764      ;
; -0.094 ; mbus_addr1_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.764      ;
; -0.093 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 1.903      ; 1.794      ;
; -0.093 ; mbus_addr0_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 1.903      ; 1.794      ;
; -0.086 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.769      ;
; -0.084 ; mbus_addr0_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.910      ; 1.810      ;
; -0.083 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.904      ; 1.805      ;
; -0.082 ; mbus_addr3_i[11] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][20]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.773      ;
; -0.077 ; mbus_cmd1_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[1]              ; clk          ; clk         ; 0.000        ; 1.881      ; 1.762      ;
; -0.073 ; mbus_addr2_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 1.882      ; 1.793      ;
; -0.069 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.798      ;
; -0.064 ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[6]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.810      ;
; -0.063 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.792      ;
; -0.061 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][21]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.795      ;
; -0.058 ; mbus_addr0_i[8]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.776      ;
; -0.057 ; mbus_cmd1_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.838      ;
; -0.057 ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.810      ;
; -0.054 ; cbus_ack0_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 1.887      ; 1.817      ;
; -0.054 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.813      ;
; -0.052 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[21]                                            ; clk          ; clk         ; 0.000        ; 1.866      ; 1.798      ;
; -0.051 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[5]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.816      ;
; -0.050 ; mbus_addr1_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.806      ;
; -0.049 ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][18]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.804      ;
; -0.048 ; mbus_addr0_i[15] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24]                                          ; clk          ; clk         ; 0.000        ; 1.844      ; 1.780      ;
; -0.047 ; mbus_addr1_i[10] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][19]                                          ; clk          ; clk         ; 0.000        ; 1.882      ; 1.819      ;
; -0.047 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.808      ;
; -0.045 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][13]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.798      ;
; -0.042 ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][39]                                          ; clk          ; clk         ; 0.000        ; 1.856      ; 1.798      ;
; -0.042 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][12]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.803      ;
; -0.039 ; mbus_addr1_i[30] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][39]                                          ; clk          ; clk         ; 0.000        ; 1.855      ; 1.800      ;
; -0.039 ; mbus_cmd0_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.816      ;
; -0.038 ; mbus_addr0_i[21] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.805      ;
; -0.036 ; mbus_cmd2_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.770      ;
; -0.034 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 1.876      ; 1.826      ;
; -0.033 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][15]                                          ; clk          ; clk         ; 0.000        ; 1.874      ; 1.825      ;
; -0.032 ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][26]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.821      ;
; -0.031 ; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][9]                                           ; clk          ; clk         ; 0.000        ; 1.878      ; 1.831      ;
; -0.031 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][14]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.816      ;
; -0.031 ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.883      ; 1.836      ;
; -0.028 ; mbus_addr3_i[7]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][16]                                          ; clk          ; clk         ; 0.000        ; 1.892      ; 1.848      ;
; -0.028 ; mbus_addr1_i[31] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][40]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.828      ;
; -0.027 ; mbus_addr3_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.820      ;
; -0.027 ; mbus_addr2_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.868      ; 1.825      ;
; -0.027 ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.881      ; 1.838      ;
; -0.025 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[12]                                            ; clk          ; clk         ; 0.000        ; 1.861      ; 1.820      ;
; -0.023 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][32]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.833      ;
; -0.023 ; cbus_ack1_i      ; mesi_isc_broad:mesi_isc_broad|mesi_isc_broad_cntl:mesi_isc_broad_cntl|broad_fifo_rd_o                                    ; clk          ; clk         ; 0.000        ; 1.887      ; 1.848      ;
; -0.022 ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.812      ;
; -0.022 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][36]                                          ; clk          ; clk         ; 0.000        ; 1.862      ; 1.824      ;
; -0.022 ; mbus_addr2_i[3]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][12]                                          ; clk          ; clk         ; 0.000        ; 1.859      ; 1.821      ;
; -0.021 ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.785      ;
; -0.020 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[0]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.835      ;
; -0.019 ; mbus_addr1_i[0]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[9]                                             ; clk          ; clk         ; 0.000        ; 1.871      ; 1.836      ;
; -0.019 ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[7]           ; clk          ; clk         ; 0.000        ; 1.890      ; 1.855      ;
; -0.018 ; mbus_addr2_i[18] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][27]                                          ; clk          ; clk         ; 0.000        ; 1.869      ; 1.835      ;
; -0.018 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.878      ; 1.844      ;
; -0.018 ; mbus_addr2_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 1.904      ; 1.870      ;
; -0.016 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][13]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.829      ;
; -0.016 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[15]                                            ; clk          ; clk         ; 0.000        ; 1.874      ; 1.842      ;
; -0.015 ; mbus_addr2_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][37]                                          ; clk          ; clk         ; 0.000        ; 1.864      ; 1.833      ;
; -0.015 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][32]                                          ; clk          ; clk         ; 0.000        ; 1.878      ; 1.847      ;
; -0.013 ; mbus_addr1_i[25] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][34]                                          ; clk          ; clk         ; 0.000        ; 1.881      ; 1.852      ;
; -0.013 ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.842      ;
; -0.011 ; mbus_addr3_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][14]                                          ; clk          ; clk         ; 0.000        ; 1.843      ; 1.816      ;
; -0.011 ; mbus_addr1_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[36]                                            ; clk          ; clk         ; 0.000        ; 1.862      ; 1.835      ;
; -0.011 ; mbus_addr2_i[6]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][15]                                          ; clk          ; clk         ; 0.000        ; 1.875      ; 1.848      ;
; -0.011 ; mbus_addr3_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.845      ; 1.818      ;
; -0.009 ; mbus_addr1_i[23] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[32]                                            ; clk          ; clk         ; 0.000        ; 1.872      ; 1.847      ;
; -0.007 ; mbus_addr0_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]                                            ; clk          ; clk         ; 0.000        ; 1.850      ; 1.827      ;
; -0.007 ; mbus_addr2_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][33]                                          ; clk          ; clk         ; 0.000        ; 1.873      ; 1.850      ;
; -0.006 ; mbus_cmd0_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[0]~_Duplicate_1 ; clk          ; clk         ; 0.000        ; 1.871      ; 1.849      ;
; -0.005 ; mbus_addr0_i[12] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21]                                          ; clk          ; clk         ; 0.000        ; 1.847      ; 1.826      ;
; -0.005 ; mbus_cmd0_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[1]           ; clk          ; clk         ; 0.000        ; 1.871      ; 1.850      ;
; -0.003 ; mbus_addr3_i[27] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][36]                                          ; clk          ; clk         ; 0.000        ; 1.872      ; 1.853      ;
; -0.003 ; mbus_cmd3_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.811      ;
; -0.003 ; mbus_addr2_i[5]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[14]                                            ; clk          ; clk         ; 0.000        ; 1.878      ; 1.859      ;
; -0.003 ; mbus_cmd3_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.811      ;
; -0.002 ; mbus_addr2_i[4]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|data_o[13]                                            ; clk          ; clk         ; 0.000        ; 1.861      ; 1.843      ;
; -0.002 ; mbus_addr1_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][33]                                          ; clk          ; clk         ; 0.000        ; 1.880      ; 1.862      ;
; -0.001 ; mbus_cmd1_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[2]           ; clk          ; clk         ; 0.000        ; 1.911      ; 1.894      ;
; 0.000  ; mbus_addr3_i[19] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[0][28]                                          ; clk          ; clk         ; 0.000        ; 1.825      ; 1.809      ;
; 0.001  ; mbus_addr3_i[29] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][38]                                          ; clk          ; clk         ; 0.000        ; 1.863      ; 1.848      ;
; 0.001  ; mbus_addr1_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|data_o[18]                                            ; clk          ; clk         ; 0.000        ; 1.871      ; 1.856      ;
; 0.001  ; mbus_addr2_i[14] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][23]                                          ; clk          ; clk         ; 0.000        ; 1.858      ; 1.843      ;
; 0.002  ; mbus_addr2_i[24] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][33]                                          ; clk          ; clk         ; 0.000        ; 1.871      ; 1.857      ;
; 0.002  ; mbus_cmd2_i[0]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[2]              ; clk          ; clk         ; 0.000        ; 1.848      ; 1.808      ;
; 0.003  ; mbus_addr2_i[2]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[1][11]                                          ; clk          ; clk         ; 0.000        ; 1.861      ; 1.848      ;
; 0.003  ; mbus_addr3_i[9]  ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_3|entry[1][18]                                          ; clk          ; clk         ; 0.000        ; 1.887      ; 1.874      ;
; 0.003  ; mbus_addr1_i[22] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[1][31]                                          ; clk          ; clk         ; 0.000        ; 1.879      ; 1.866      ;
; 0.004  ; mbus_addr1_i[28] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_1|entry[0][37]                                          ; clk          ; clk         ; 0.000        ; 1.855      ; 1.843      ;
; 0.004  ; mbus_cmd2_i[2]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|breq_type_array_o[4]           ; clk          ; clk         ; 0.000        ; 1.883      ; 1.871      ;
; 0.004  ; mbus_cmd3_i[1]   ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_breq_fifos_cntl:mesi_isc_breq_fifos_cntl|mbus_ack_array[3]              ; clk          ; clk         ; 0.000        ; 1.856      ; 1.818      ;
; 0.005  ; mbus_addr2_i[17] ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_2|entry[0][26]                                          ; clk          ; clk         ; 0.000        ; 1.850      ; 1.839      ;
+--------+------------------+--------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width: 'clk'                                                                                                            ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type       ; Clock ; Clock Edge ; Target                                                                          ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate  ; clk   ; Rise       ; clk                                                                             ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[10]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[11]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[12]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[13]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[14]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[15]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[16]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[17]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[18]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[19]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[20]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[21]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[22]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[23]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[24]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[25]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[26]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[27]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[28]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[29]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[30]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[31]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[32]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[33]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[34]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[35]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[36]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[37]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[38]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[39]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[40]   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[7]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[8]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|data_o[9]    ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][40] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][7]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][8]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[0][9]  ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][10] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][11] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][12] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][13] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][14] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][15] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][16] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][17] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][18] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][19] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][20] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][21] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][22] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][23] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][24] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][25] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][26] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][27] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][28] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][29] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][30] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][31] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][32] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][33] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][34] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][35] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][36] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][37] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][38] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][39] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period ; clk   ; Rise       ; mesi_isc_breq_fifos:mesi_isc_breq_fifos|mesi_isc_basic_fifo:fifo_0|entry[1][40] ;
+--------+--------------+----------------+------------+-------+------------+---------------------------------------------------------------------------------+


+-------------------------------------------------------------------------------+
; Setup Times                                                                   ;
+-------------------+------------+-------+-------+------------+-----------------+
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-------------------+------------+-------+-------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.186 ; 0.650 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.194 ; 0.665 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; 0.490 ; 0.649 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; 0.441 ; 0.602 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.486 ; 0.831 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.243 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.190 ; 0.739 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.268 ; 0.813 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.243 ; 0.784 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.290 ; 0.824 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.232 ; 0.771 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.249 ; 0.797 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.190 ; 0.769 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.209 ; 0.773 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.245 ; 0.795 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.263 ; 0.812 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.238 ; 0.779 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.144 ; 0.688 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.172 ; 0.726 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.247 ; 0.798 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.194 ; 0.768 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.217 ; 0.752 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; 0.486 ; 0.658 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.242 ; 0.797 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.239 ; 0.779 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.226 ; 0.757 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.152 ; 0.701 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.137 ; 0.681 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.264 ; 0.808 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; 0.238 ; 0.803 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.219 ; 0.766 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.210 ; 0.744 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.271 ; 0.831 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.220 ; 0.740 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.148 ; 0.721 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.196 ; 0.763 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.194 ; 0.733 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.379 ; 0.907 ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.146 ; 0.702 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.231 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.168 ; 0.738 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.246 ; 0.801 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.180 ; 0.743 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.136 ; 0.698 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.275 ; 0.820 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.229 ; 0.775 ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.177 ; 0.742 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.121 ; 0.688 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.140 ; 0.663 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.211 ; 0.770 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.073 ; 0.598 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.184 ; 0.736 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.215 ; 0.761 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.346 ; 0.895 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.180 ; 0.708 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; 0.371 ; 0.580 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; 0.379 ; 0.587 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.198 ; 0.747 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.187 ; 0.753 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.223 ; 0.756 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.135 ; 0.676 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.110 ; 0.674 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.166 ; 0.716 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.353 ; 0.907 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.165 ; 0.725 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.108 ; 0.647 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.133 ; 0.655 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.234 ; 0.793 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.179 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.233 ; 0.789 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.309 ; 0.878 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.208 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.229 ; 0.779 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.194 ; 0.755 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.094 ; 0.655 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.113 ; 0.710 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.116 ; 0.688 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.112 ; 0.660 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.190 ; 0.687 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.168 ; 0.727 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.141 ; 0.686 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.289 ; 0.839 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.202 ; 0.769 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.170 ; 0.747 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.169 ; 0.745 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.155 ; 0.687 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.212 ; 0.775 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.232 ; 0.786 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.138 ; 0.703 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.162 ; 0.739 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; 0.308 ; 0.530 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.159 ; 0.661 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.204 ; 0.747 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.124 ; 0.680 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.230 ; 0.788 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.135 ; 0.675 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.142 ; 0.720 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.161 ; 0.724 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.309 ; 0.878 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.142 ; 0.723 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.212 ; 0.773 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.205 ; 0.739 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; 0.289 ; 0.861 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.330 ; 0.894 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; 0.256 ; 0.799 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.212 ; 0.748 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.237 ; 0.796 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.234 ; 0.770 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; 0.247 ; 0.808 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.193 ; 0.749 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.220 ; 0.767 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.330 ; 0.894 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.214 ; 0.765 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.193 ; 0.735 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.224 ; 0.794 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.221 ; 0.770 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.182 ; 0.751 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.246 ; 0.785 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.153 ; 0.705 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.210 ; 0.752 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.290 ; 0.811 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.209 ; 0.765 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.281 ; 0.819 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.261 ; 0.810 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.216 ; 0.785 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.166 ; 0.719 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.212 ; 0.754 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.212 ; 0.766 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; 0.252 ; 0.828 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.191 ; 0.729 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.249 ; 0.835 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.256 ; 0.796 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.234 ; 0.767 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.271 ; 0.833 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.187 ; 0.738 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.207 ; 0.810 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.317 ; 0.703 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.195 ; 0.665 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.317 ; 0.703 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.153 ; 0.634 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.296 ; 0.782 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.269 ; 0.742 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.240 ; 0.720 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.296 ; 0.782 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.222 ; 0.639 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.217 ; 0.623 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.222 ; 0.569 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.191 ; 0.639 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.227 ; 0.721 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.201 ; 0.721 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.219 ; 0.671 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.227 ; 0.710 ; Rise       ; clk             ;
+-------------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Hold Times                                                                      ;
+-------------------+------------+--------+--------+------------+-----------------+
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-------------------+------------+--------+--------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.154  ; -0.387 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.123  ; -0.400 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; -0.133 ; -0.365 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; -0.062 ; -0.286 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.193  ; -0.321 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.011  ; -0.531 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.063  ; -0.474 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.074  ; -0.461 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.055  ; -0.481 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.037  ; -0.495 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.017  ; -0.516 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.006  ; -0.525 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.184  ; -0.379 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.158  ; -0.378 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.063  ; -0.469 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.019  ; -0.501 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.055  ; -0.468 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.105  ; -0.437 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.094  ; -0.439 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.029  ; -0.520 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.148  ; -0.409 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.061  ; -0.472 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; -0.185 ; -0.393 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.085  ; -0.422 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.083  ; -0.438 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.078  ; -0.446 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.138  ; -0.399 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.122  ; -0.410 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.028  ; -0.509 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; -0.002 ; -0.552 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.056  ; -0.475 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.063  ; -0.479 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.053  ; -0.479 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.070  ; -0.469 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.091  ; -0.455 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.193  ; -0.321 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.052  ; -0.488 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.371  ; -0.118 ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.131  ; -0.401 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.070  ; -0.478 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.075  ; -0.469 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.046  ; -0.499 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.207  ; -0.320 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.194  ; -0.350 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.058  ; -0.495 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.371  ; -0.118 ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.073  ; -0.472 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.149  ; -0.384 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.147  ; -0.347 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.080  ; -0.438 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.161  ; -0.350 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.066  ; -0.457 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.078  ; -0.453 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.066  ; -0.456 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.079  ; -0.456 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; -0.129 ; -0.345 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; -0.097 ; -0.307 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.051  ; -0.483 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.090  ; -0.441 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.010  ; -0.510 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.127  ; -0.393 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.123  ; -0.427 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.102  ; -0.438 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.113  ; -0.409 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.095  ; -0.456 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.221  ; -0.298 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.096  ; -0.423 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.086  ; -0.433 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.142  ; -0.386 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.128  ; -0.403 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.183  ; -0.294 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.071  ; -0.440 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.002  ; -0.539 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.097  ; -0.441 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.142  ; -0.415 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.145  ; -0.432 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.131  ; -0.421 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.133  ; -0.398 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.081  ; -0.415 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.055  ; -0.475 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.088  ; -0.445 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.020  ; -0.531 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.045  ; -0.504 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.127  ; -0.445 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.071  ; -0.484 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.099  ; -0.431 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.021  ; -0.533 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.071  ; -0.472 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.132  ; -0.432 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.118  ; -0.421 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; -0.073 ; -0.294 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.085  ; -0.412 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.055  ; -0.467 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.183  ; -0.365 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.023  ; -0.531 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.107  ; -0.437 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.173  ; -0.382 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.072  ; -0.476 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.009  ; -0.540 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.115  ; -0.412 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.067  ; -0.483 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.070  ; -0.440 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; -0.042 ; -0.606 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.182  ; -0.336 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; -0.004 ; -0.555 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.060  ; -0.482 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.035  ; -0.495 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.021  ; -0.522 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; -0.007 ; -0.567 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.111  ; -0.430 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.015  ; -0.522 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.128  ; -0.390 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.042  ; -0.501 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.097  ; -0.432 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.087  ; -0.453 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.182  ; -0.336 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.111  ; -0.435 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.034  ; -0.485 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.127  ; -0.415 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.065  ; -0.462 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.013  ; -0.500 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.028  ; -0.508 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.010  ; -0.535 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.100  ; -0.424 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.087  ; -0.459 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.088  ; -0.445 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.050  ; -0.481 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.079  ; -0.470 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; -0.003 ; -0.569 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.052  ; -0.473 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.048  ; -0.523 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.103  ; -0.405 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.017  ; -0.516 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.099  ; -0.417 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.047  ; -0.500 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.060  ; -0.511 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.186  ; -0.172 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.163  ; -0.187 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.106  ; -0.304 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.186  ; -0.172 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.257  ; -0.211 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.157  ; -0.374 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.257  ; -0.211 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.195  ; -0.329 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.195  ; -0.254 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.157  ; -0.277 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.195  ; -0.266 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.154  ; -0.254 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.219  ; -0.213 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.219  ; -0.213 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.164  ; -0.308 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.103  ; -0.361 ; Rise       ; clk             ;
+-------------------+------------+--------+--------+------------+-----------------+


+------------------------------------------------------------------------------+
; Clock to Output Times                                                        ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 3.729 ; 3.742 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 3.672 ; 3.667 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 3.674 ; 3.687 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 3.649 ; 3.644 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 3.640 ; 3.635 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 3.661 ; 3.656 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 3.632 ; 3.627 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 3.671 ; 3.666 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 3.695 ; 3.708 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 3.659 ; 3.672 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 3.650 ; 3.645 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 3.684 ; 3.697 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 3.643 ; 3.638 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 3.729 ; 3.742 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 3.634 ; 3.629 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 3.669 ; 3.682 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 3.678 ; 3.691 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 3.683 ; 3.696 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 3.653 ; 3.648 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 3.659 ; 3.654 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 3.641 ; 3.636 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 3.659 ; 3.654 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 3.646 ; 3.641 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 3.654 ; 3.649 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 3.656 ; 3.649 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 3.651 ; 3.646 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 3.681 ; 3.676 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 3.653 ; 3.648 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 3.674 ; 3.669 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 3.682 ; 3.695 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 3.643 ; 3.638 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 5.470 ; 5.504 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 5.470 ; 5.266 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 5.384 ; 5.504 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 5.253 ; 5.437 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 5.562 ; 5.474 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 5.562 ; 5.359 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 5.382 ; 5.474 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 5.227 ; 5.272 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 5.454 ; 5.506 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 5.389 ; 5.178 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 5.401 ; 5.494 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 5.454 ; 5.506 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 5.311 ; 5.414 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 5.311 ; 5.118 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 5.180 ; 5.254 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 5.216 ; 5.414 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 3.648 ; 3.643 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 3.654 ; 3.649 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 3.663 ; 3.676 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 3.671 ; 3.684 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 3.599 ; 3.612 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 3.565 ; 3.560 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 3.587 ; 3.582 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 3.621 ; 3.634 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 3.586 ; 3.599 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 3.655 ; 3.668 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 3.559 ; 3.554 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 3.595 ; 3.608 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 3.605 ; 3.618 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 3.576 ; 3.571 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 3.577 ; 3.572 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 3.585 ; 3.580 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 3.584 ; 3.579 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 3.572 ; 3.567 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 3.580 ; 3.575 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 3.581 ; 3.574 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 3.606 ; 3.601 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 3.599 ; 3.594 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 3.608 ; 3.621 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 4.669 ; 4.778 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 4.838 ; 4.778 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 4.779 ; 4.946 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 4.669 ; 4.820 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 5.035 ; 4.954 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 4.821 ; 4.952 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 4.875 ; 4.830 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 4.886 ; 4.830 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 4.889 ; 5.045 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 4.875 ; 4.927 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 4.709 ; 4.804 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 4.899 ; 4.804 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 4.709 ; 4.814 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 4.727 ; 4.868 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 3.573 ; 3.568 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 3.579 ; 3.574 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 3.589 ; 3.602 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 3.597 ; 3.610 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


---------------------------------------------
; Fast 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+----------------------------------------------------------------------------------+
; Multicorner Timing Analysis Summary                                              ;
+------------------+-----------+--------+----------+---------+---------------------+
; Clock            ; Setup     ; Hold   ; Recovery ; Removal ; Minimum Pulse Width ;
+------------------+-----------+--------+----------+---------+---------------------+
; Worst-case Slack ; -8.340    ; -0.278 ; N/A      ; N/A     ; -3.000              ;
;  clk             ; -8.340    ; -0.278 ; N/A      ; N/A     ; -3.000              ;
; Design-wide TNS  ; -2724.862 ; -3.47  ; 0.0      ; 0.0     ; -651.247            ;
;  clk             ; -2724.862 ; -3.470 ; N/A      ; N/A     ; -651.247            ;
+------------------+-----------+--------+----------+---------+---------------------+


+-------------------------------------------------------------------------------+
; Setup Times                                                                   ;
+-------------------+------------+-------+-------+------------+-----------------+
; Data Port         ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-------------------+------------+-------+-------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.517 ; 0.748 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.631 ; 0.820 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; 0.850 ; 0.811 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; 0.769 ; 0.740 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.799 ; 0.938 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.644 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.558 ; 0.779 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.651 ; 0.885 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.611 ; 0.829 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.662 ; 0.895 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.583 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.630 ; 0.862 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.518 ; 0.868 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.528 ; 0.883 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.608 ; 0.833 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.626 ; 0.835 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.690 ; 0.871 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.456 ; 0.742 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.603 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.618 ; 0.854 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.560 ; 0.938 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.569 ; 0.788 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; 0.799 ; 0.824 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.631 ; 0.882 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.616 ; 0.845 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.579 ; 0.807 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.451 ; 0.745 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.428 ; 0.709 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.636 ; 0.846 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; 0.637 ; 0.864 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.543 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.521 ; 0.784 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.650 ; 0.888 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.565 ; 0.761 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.438 ; 0.740 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.511 ; 0.853 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.529 ; 0.756 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.890 ; 1.090 ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.549 ; 0.741 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.638 ; 0.900 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.543 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.644 ; 0.883 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.517 ; 0.839 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.475 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.715 ; 0.940 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.603 ; 0.934 ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.549 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.451 ; 0.769 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.450 ; 0.760 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.589 ; 0.838 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.375 ; 0.670 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.547 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.649 ; 0.823 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.832 ; 1.040 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.535 ; 0.778 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; 0.634 ; 0.728 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; 0.693 ; 0.783 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.617 ; 0.793 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.559 ; 0.810 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.639 ; 0.795 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.491 ; 0.696 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.444 ; 0.746 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.528 ; 0.763 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.890 ; 1.090 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.501 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.451 ; 0.721 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.453 ; 0.655 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.641 ; 0.894 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.542 ; 0.828 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.628 ; 0.873 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.560 ; 0.846 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.588 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.568 ; 0.794 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.371 ; 0.687 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.409 ; 0.777 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.435 ; 0.748 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.476 ; 0.741 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.570 ; 0.694 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.459 ; 0.775 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.415 ; 0.702 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.685 ; 0.918 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.613 ; 0.857 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.497 ; 0.854 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.515 ; 0.852 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.533 ; 0.780 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.538 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.661 ; 0.868 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.463 ; 0.790 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.496 ; 0.821 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; 0.557 ; 0.732 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.559 ; 0.661 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.572 ; 0.802 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.460 ; 0.790 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.605 ; 0.863 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.509 ; 0.724 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.462 ; 0.818 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.545 ; 0.805 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.807 ; 1.054 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.483 ; 0.829 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.605 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.564 ; 0.774 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; 0.693 ; 0.961 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.786 ; 1.045 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; 0.629 ; 0.860 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.581 ; 0.796 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.621 ; 0.884 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.672 ; 0.844 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; 0.669 ; 0.899 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.512 ; 0.843 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.570 ; 0.812 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.786 ; 1.045 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.591 ; 0.834 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.619 ; 0.824 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.608 ; 0.858 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.662 ; 0.889 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.536 ; 0.869 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.614 ; 0.800 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.522 ; 0.814 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.562 ; 0.781 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.692 ; 0.856 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.577 ; 0.835 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.655 ; 0.886 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.679 ; 0.959 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.615 ; 0.859 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.555 ; 0.787 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.647 ; 0.794 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.526 ; 0.847 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; 0.603 ; 0.872 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.515 ; 0.803 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.613 ; 0.973 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.716 ; 0.926 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.586 ; 0.804 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.730 ; 0.952 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.514 ; 0.807 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.535 ; 0.885 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.654 ; 0.838 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.746 ; 0.907 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.602 ; 0.752 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.731 ; 0.996 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.621 ; 0.908 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.803 ; 1.064 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.594 ; 0.775 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.633 ; 0.735 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.643 ; 0.788 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.630 ; 0.950 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.642 ; 0.863 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.687 ; 0.970 ; Rise       ; clk             ;
+-------------------+------------+-------+-------+------------+-----------------+


+---------------------------------------------------------------------------------+
; Hold Times                                                                      ;
+-------------------+------------+--------+--------+------------+-----------------+
; Data Port         ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-------------------+------------+--------+--------+------------+-----------------+
; cbus_ack0_i       ; clk        ; 0.154  ; -0.198 ; Rise       ; clk             ;
; cbus_ack1_i       ; clk        ; 0.123  ; -0.265 ; Rise       ; clk             ;
; cbus_ack2_i       ; clk        ; -0.133 ; -0.341 ; Rise       ; clk             ;
; cbus_ack3_i       ; clk        ; -0.062 ; -0.135 ; Rise       ; clk             ;
; mbus_addr0_i[*]   ; clk        ; 0.193  ; -0.103 ; Rise       ; clk             ;
;  mbus_addr0_i[0]  ; clk        ; 0.011  ; -0.372 ; Rise       ; clk             ;
;  mbus_addr0_i[1]  ; clk        ; 0.063  ; -0.265 ; Rise       ; clk             ;
;  mbus_addr0_i[2]  ; clk        ; 0.074  ; -0.265 ; Rise       ; clk             ;
;  mbus_addr0_i[3]  ; clk        ; 0.055  ; -0.267 ; Rise       ; clk             ;
;  mbus_addr0_i[4]  ; clk        ; 0.037  ; -0.296 ; Rise       ; clk             ;
;  mbus_addr0_i[5]  ; clk        ; 0.017  ; -0.338 ; Rise       ; clk             ;
;  mbus_addr0_i[6]  ; clk        ; 0.006  ; -0.358 ; Rise       ; clk             ;
;  mbus_addr0_i[7]  ; clk        ; 0.184  ; -0.200 ; Rise       ; clk             ;
;  mbus_addr0_i[8]  ; clk        ; 0.158  ; -0.171 ; Rise       ; clk             ;
;  mbus_addr0_i[9]  ; clk        ; 0.063  ; -0.241 ; Rise       ; clk             ;
;  mbus_addr0_i[10] ; clk        ; 0.019  ; -0.330 ; Rise       ; clk             ;
;  mbus_addr0_i[11] ; clk        ; 0.055  ; -0.325 ; Rise       ; clk             ;
;  mbus_addr0_i[12] ; clk        ; 0.105  ; -0.251 ; Rise       ; clk             ;
;  mbus_addr0_i[13] ; clk        ; 0.094  ; -0.250 ; Rise       ; clk             ;
;  mbus_addr0_i[14] ; clk        ; 0.029  ; -0.319 ; Rise       ; clk             ;
;  mbus_addr0_i[15] ; clk        ; 0.148  ; -0.201 ; Rise       ; clk             ;
;  mbus_addr0_i[16] ; clk        ; 0.061  ; -0.268 ; Rise       ; clk             ;
;  mbus_addr0_i[17] ; clk        ; -0.185 ; -0.322 ; Rise       ; clk             ;
;  mbus_addr0_i[18] ; clk        ; 0.085  ; -0.219 ; Rise       ; clk             ;
;  mbus_addr0_i[19] ; clk        ; 0.083  ; -0.222 ; Rise       ; clk             ;
;  mbus_addr0_i[20] ; clk        ; 0.078  ; -0.215 ; Rise       ; clk             ;
;  mbus_addr0_i[21] ; clk        ; 0.138  ; -0.181 ; Rise       ; clk             ;
;  mbus_addr0_i[22] ; clk        ; 0.122  ; -0.231 ; Rise       ; clk             ;
;  mbus_addr0_i[23] ; clk        ; 0.028  ; -0.291 ; Rise       ; clk             ;
;  mbus_addr0_i[24] ; clk        ; -0.002 ; -0.372 ; Rise       ; clk             ;
;  mbus_addr0_i[25] ; clk        ; 0.056  ; -0.237 ; Rise       ; clk             ;
;  mbus_addr0_i[26] ; clk        ; 0.063  ; -0.256 ; Rise       ; clk             ;
;  mbus_addr0_i[27] ; clk        ; 0.053  ; -0.266 ; Rise       ; clk             ;
;  mbus_addr0_i[28] ; clk        ; 0.070  ; -0.251 ; Rise       ; clk             ;
;  mbus_addr0_i[29] ; clk        ; 0.091  ; -0.257 ; Rise       ; clk             ;
;  mbus_addr0_i[30] ; clk        ; 0.193  ; -0.103 ; Rise       ; clk             ;
;  mbus_addr0_i[31] ; clk        ; 0.052  ; -0.301 ; Rise       ; clk             ;
; mbus_addr1_i[*]   ; clk        ; 0.378  ; 0.156  ; Rise       ; clk             ;
;  mbus_addr1_i[0]  ; clk        ; 0.131  ; -0.242 ; Rise       ; clk             ;
;  mbus_addr1_i[1]  ; clk        ; 0.070  ; -0.320 ; Rise       ; clk             ;
;  mbus_addr1_i[2]  ; clk        ; 0.075  ; -0.323 ; Rise       ; clk             ;
;  mbus_addr1_i[3]  ; clk        ; 0.046  ; -0.331 ; Rise       ; clk             ;
;  mbus_addr1_i[4]  ; clk        ; 0.207  ; -0.126 ; Rise       ; clk             ;
;  mbus_addr1_i[5]  ; clk        ; 0.194  ; -0.146 ; Rise       ; clk             ;
;  mbus_addr1_i[6]  ; clk        ; 0.058  ; -0.324 ; Rise       ; clk             ;
;  mbus_addr1_i[7]  ; clk        ; 0.378  ; 0.156  ; Rise       ; clk             ;
;  mbus_addr1_i[8]  ; clk        ; 0.073  ; -0.286 ; Rise       ; clk             ;
;  mbus_addr1_i[9]  ; clk        ; 0.149  ; -0.191 ; Rise       ; clk             ;
;  mbus_addr1_i[10] ; clk        ; 0.147  ; -0.183 ; Rise       ; clk             ;
;  mbus_addr1_i[11] ; clk        ; 0.080  ; -0.245 ; Rise       ; clk             ;
;  mbus_addr1_i[12] ; clk        ; 0.161  ; -0.160 ; Rise       ; clk             ;
;  mbus_addr1_i[13] ; clk        ; 0.066  ; -0.287 ; Rise       ; clk             ;
;  mbus_addr1_i[14] ; clk        ; 0.078  ; -0.266 ; Rise       ; clk             ;
;  mbus_addr1_i[15] ; clk        ; 0.066  ; -0.264 ; Rise       ; clk             ;
;  mbus_addr1_i[16] ; clk        ; 0.079  ; -0.279 ; Rise       ; clk             ;
;  mbus_addr1_i[17] ; clk        ; -0.129 ; -0.318 ; Rise       ; clk             ;
;  mbus_addr1_i[18] ; clk        ; -0.097 ; -0.292 ; Rise       ; clk             ;
;  mbus_addr1_i[19] ; clk        ; 0.051  ; -0.308 ; Rise       ; clk             ;
;  mbus_addr1_i[20] ; clk        ; 0.090  ; -0.251 ; Rise       ; clk             ;
;  mbus_addr1_i[21] ; clk        ; 0.010  ; -0.350 ; Rise       ; clk             ;
;  mbus_addr1_i[22] ; clk        ; 0.127  ; -0.173 ; Rise       ; clk             ;
;  mbus_addr1_i[23] ; clk        ; 0.123  ; -0.260 ; Rise       ; clk             ;
;  mbus_addr1_i[24] ; clk        ; 0.102  ; -0.268 ; Rise       ; clk             ;
;  mbus_addr1_i[25] ; clk        ; 0.113  ; -0.196 ; Rise       ; clk             ;
;  mbus_addr1_i[26] ; clk        ; 0.095  ; -0.291 ; Rise       ; clk             ;
;  mbus_addr1_i[27] ; clk        ; 0.221  ; -0.100 ; Rise       ; clk             ;
;  mbus_addr1_i[28] ; clk        ; 0.096  ; -0.203 ; Rise       ; clk             ;
;  mbus_addr1_i[29] ; clk        ; 0.086  ; -0.252 ; Rise       ; clk             ;
;  mbus_addr1_i[30] ; clk        ; 0.142  ; -0.170 ; Rise       ; clk             ;
;  mbus_addr1_i[31] ; clk        ; 0.128  ; -0.188 ; Rise       ; clk             ;
; mbus_addr2_i[*]   ; clk        ; 0.183  ; -0.157 ; Rise       ; clk             ;
;  mbus_addr2_i[0]  ; clk        ; 0.071  ; -0.233 ; Rise       ; clk             ;
;  mbus_addr2_i[1]  ; clk        ; 0.002  ; -0.324 ; Rise       ; clk             ;
;  mbus_addr2_i[2]  ; clk        ; 0.097  ; -0.249 ; Rise       ; clk             ;
;  mbus_addr2_i[3]  ; clk        ; 0.142  ; -0.211 ; Rise       ; clk             ;
;  mbus_addr2_i[4]  ; clk        ; 0.145  ; -0.234 ; Rise       ; clk             ;
;  mbus_addr2_i[5]  ; clk        ; 0.131  ; -0.269 ; Rise       ; clk             ;
;  mbus_addr2_i[6]  ; clk        ; 0.133  ; -0.226 ; Rise       ; clk             ;
;  mbus_addr2_i[7]  ; clk        ; 0.081  ; -0.167 ; Rise       ; clk             ;
;  mbus_addr2_i[8]  ; clk        ; 0.055  ; -0.274 ; Rise       ; clk             ;
;  mbus_addr2_i[9]  ; clk        ; 0.088  ; -0.250 ; Rise       ; clk             ;
;  mbus_addr2_i[10] ; clk        ; 0.020  ; -0.337 ; Rise       ; clk             ;
;  mbus_addr2_i[11] ; clk        ; 0.045  ; -0.347 ; Rise       ; clk             ;
;  mbus_addr2_i[12] ; clk        ; 0.127  ; -0.253 ; Rise       ; clk             ;
;  mbus_addr2_i[13] ; clk        ; 0.071  ; -0.336 ; Rise       ; clk             ;
;  mbus_addr2_i[14] ; clk        ; 0.099  ; -0.253 ; Rise       ; clk             ;
;  mbus_addr2_i[15] ; clk        ; 0.021  ; -0.334 ; Rise       ; clk             ;
;  mbus_addr2_i[16] ; clk        ; 0.071  ; -0.271 ; Rise       ; clk             ;
;  mbus_addr2_i[17] ; clk        ; 0.132  ; -0.274 ; Rise       ; clk             ;
;  mbus_addr2_i[18] ; clk        ; 0.118  ; -0.254 ; Rise       ; clk             ;
;  mbus_addr2_i[19] ; clk        ; -0.073 ; -0.245 ; Rise       ; clk             ;
;  mbus_addr2_i[20] ; clk        ; 0.085  ; -0.209 ; Rise       ; clk             ;
;  mbus_addr2_i[21] ; clk        ; 0.055  ; -0.331 ; Rise       ; clk             ;
;  mbus_addr2_i[22] ; clk        ; 0.183  ; -0.161 ; Rise       ; clk             ;
;  mbus_addr2_i[23] ; clk        ; 0.023  ; -0.387 ; Rise       ; clk             ;
;  mbus_addr2_i[24] ; clk        ; 0.107  ; -0.271 ; Rise       ; clk             ;
;  mbus_addr2_i[25] ; clk        ; 0.173  ; -0.157 ; Rise       ; clk             ;
;  mbus_addr2_i[26] ; clk        ; 0.072  ; -0.338 ; Rise       ; clk             ;
;  mbus_addr2_i[27] ; clk        ; 0.009  ; -0.388 ; Rise       ; clk             ;
;  mbus_addr2_i[28] ; clk        ; 0.115  ; -0.266 ; Rise       ; clk             ;
;  mbus_addr2_i[29] ; clk        ; 0.067  ; -0.329 ; Rise       ; clk             ;
;  mbus_addr2_i[30] ; clk        ; 0.070  ; -0.247 ; Rise       ; clk             ;
;  mbus_addr2_i[31] ; clk        ; -0.042 ; -0.471 ; Rise       ; clk             ;
; mbus_addr3_i[*]   ; clk        ; 0.182  ; -0.116 ; Rise       ; clk             ;
;  mbus_addr3_i[0]  ; clk        ; -0.004 ; -0.379 ; Rise       ; clk             ;
;  mbus_addr3_i[1]  ; clk        ; 0.060  ; -0.254 ; Rise       ; clk             ;
;  mbus_addr3_i[2]  ; clk        ; 0.035  ; -0.324 ; Rise       ; clk             ;
;  mbus_addr3_i[3]  ; clk        ; 0.021  ; -0.366 ; Rise       ; clk             ;
;  mbus_addr3_i[4]  ; clk        ; -0.007 ; -0.424 ; Rise       ; clk             ;
;  mbus_addr3_i[5]  ; clk        ; 0.111  ; -0.210 ; Rise       ; clk             ;
;  mbus_addr3_i[6]  ; clk        ; 0.015  ; -0.341 ; Rise       ; clk             ;
;  mbus_addr3_i[7]  ; clk        ; 0.128  ; -0.200 ; Rise       ; clk             ;
;  mbus_addr3_i[8]  ; clk        ; 0.042  ; -0.344 ; Rise       ; clk             ;
;  mbus_addr3_i[9]  ; clk        ; 0.097  ; -0.261 ; Rise       ; clk             ;
;  mbus_addr3_i[10] ; clk        ; 0.087  ; -0.265 ; Rise       ; clk             ;
;  mbus_addr3_i[11] ; clk        ; 0.182  ; -0.116 ; Rise       ; clk             ;
;  mbus_addr3_i[12] ; clk        ; 0.111  ; -0.220 ; Rise       ; clk             ;
;  mbus_addr3_i[13] ; clk        ; 0.034  ; -0.304 ; Rise       ; clk             ;
;  mbus_addr3_i[14] ; clk        ; 0.127  ; -0.251 ; Rise       ; clk             ;
;  mbus_addr3_i[15] ; clk        ; 0.065  ; -0.274 ; Rise       ; clk             ;
;  mbus_addr3_i[16] ; clk        ; 0.013  ; -0.282 ; Rise       ; clk             ;
;  mbus_addr3_i[17] ; clk        ; 0.028  ; -0.336 ; Rise       ; clk             ;
;  mbus_addr3_i[18] ; clk        ; 0.010  ; -0.333 ; Rise       ; clk             ;
;  mbus_addr3_i[19] ; clk        ; 0.100  ; -0.265 ; Rise       ; clk             ;
;  mbus_addr3_i[20] ; clk        ; 0.087  ; -0.250 ; Rise       ; clk             ;
;  mbus_addr3_i[21] ; clk        ; 0.088  ; -0.267 ; Rise       ; clk             ;
;  mbus_addr3_i[22] ; clk        ; 0.050  ; -0.310 ; Rise       ; clk             ;
;  mbus_addr3_i[23] ; clk        ; 0.079  ; -0.260 ; Rise       ; clk             ;
;  mbus_addr3_i[24] ; clk        ; -0.003 ; -0.396 ; Rise       ; clk             ;
;  mbus_addr3_i[25] ; clk        ; 0.052  ; -0.317 ; Rise       ; clk             ;
;  mbus_addr3_i[26] ; clk        ; 0.048  ; -0.354 ; Rise       ; clk             ;
;  mbus_addr3_i[27] ; clk        ; 0.103  ; -0.232 ; Rise       ; clk             ;
;  mbus_addr3_i[28] ; clk        ; 0.017  ; -0.335 ; Rise       ; clk             ;
;  mbus_addr3_i[29] ; clk        ; 0.099  ; -0.226 ; Rise       ; clk             ;
;  mbus_addr3_i[30] ; clk        ; 0.047  ; -0.333 ; Rise       ; clk             ;
;  mbus_addr3_i[31] ; clk        ; 0.060  ; -0.373 ; Rise       ; clk             ;
; mbus_cmd0_i[*]    ; clk        ; 0.186  ; -0.071 ; Rise       ; clk             ;
;  mbus_cmd0_i[0]   ; clk        ; 0.163  ; -0.096 ; Rise       ; clk             ;
;  mbus_cmd0_i[1]   ; clk        ; 0.106  ; -0.258 ; Rise       ; clk             ;
;  mbus_cmd0_i[2]   ; clk        ; 0.186  ; -0.071 ; Rise       ; clk             ;
; mbus_cmd1_i[*]    ; clk        ; 0.257  ; -0.047 ; Rise       ; clk             ;
;  mbus_cmd1_i[0]   ; clk        ; 0.157  ; -0.238 ; Rise       ; clk             ;
;  mbus_cmd1_i[1]   ; clk        ; 0.257  ; -0.047 ; Rise       ; clk             ;
;  mbus_cmd1_i[2]   ; clk        ; 0.195  ; -0.181 ; Rise       ; clk             ;
; mbus_cmd2_i[*]    ; clk        ; 0.195  ; -0.103 ; Rise       ; clk             ;
;  mbus_cmd2_i[0]   ; clk        ; 0.157  ; -0.159 ; Rise       ; clk             ;
;  mbus_cmd2_i[1]   ; clk        ; 0.195  ; -0.103 ; Rise       ; clk             ;
;  mbus_cmd2_i[2]   ; clk        ; 0.154  ; -0.196 ; Rise       ; clk             ;
; mbus_cmd3_i[*]    ; clk        ; 0.219  ; -0.121 ; Rise       ; clk             ;
;  mbus_cmd3_i[0]   ; clk        ; 0.219  ; -0.121 ; Rise       ; clk             ;
;  mbus_cmd3_i[1]   ; clk        ; 0.164  ; -0.208 ; Rise       ; clk             ;
;  mbus_cmd3_i[2]   ; clk        ; 0.103  ; -0.352 ; Rise       ; clk             ;
+-------------------+------------+--------+--------+------------+-----------------+


+------------------------------------------------------------------------------+
; Clock to Output Times                                                        ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 6.025 ; 5.982 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 6.050 ; 6.007 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 5.992 ; 5.949 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 6.014 ; 5.971 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 5.986 ; 5.943 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 6.024 ; 5.981 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 6.071 ; 6.028 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 6.037 ; 5.994 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 6.002 ; 5.959 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 6.060 ; 6.017 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 6.105 ; 6.062 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 5.987 ; 5.944 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 6.046 ; 6.003 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 6.055 ; 6.012 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 6.058 ; 6.015 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 6.003 ; 5.960 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 5.994 ; 5.951 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 6.012 ; 5.969 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 5.999 ; 5.956 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 6.006 ; 5.963 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 5.993 ; 5.957 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 6.004 ; 5.961 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 6.033 ; 5.990 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 6.005 ; 5.962 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 6.027 ; 5.984 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 6.059 ; 6.016 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 5.995 ; 5.952 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 9.110 ; 9.097 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 9.110 ; 8.961 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 9.095 ; 9.097 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 8.955 ; 9.012 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 9.220 ; 9.075 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 9.105 ; 9.047 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 8.844 ; 8.748 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 8.900 ; 8.767 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 9.119 ; 9.092 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 9.214 ; 9.167 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 8.823 ; 8.697 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 8.786 ; 8.716 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 8.881 ; 8.977 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 6.000 ; 5.957 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 6.008 ; 5.965 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 6.040 ; 5.997 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 6.047 ; 6.004 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------------------------+
; Minimum Clock to Output Times                                                ;
+------------------+------------+-------+-------+------------+-----------------+
; Data Port        ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+------------------+------------+-------+-------+------------+-----------------+
; cbus_addr_o[*]   ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
;  cbus_addr_o[0]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
;  cbus_addr_o[1]  ; clk        ; 3.599 ; 3.612 ; Rise       ; clk             ;
;  cbus_addr_o[2]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
;  cbus_addr_o[3]  ; clk        ; 3.565 ; 3.560 ; Rise       ; clk             ;
;  cbus_addr_o[4]  ; clk        ; 3.587 ; 3.582 ; Rise       ; clk             ;
;  cbus_addr_o[5]  ; clk        ; 3.558 ; 3.553 ; Rise       ; clk             ;
;  cbus_addr_o[6]  ; clk        ; 3.597 ; 3.592 ; Rise       ; clk             ;
;  cbus_addr_o[7]  ; clk        ; 3.621 ; 3.634 ; Rise       ; clk             ;
;  cbus_addr_o[8]  ; clk        ; 3.586 ; 3.599 ; Rise       ; clk             ;
;  cbus_addr_o[9]  ; clk        ; 3.575 ; 3.570 ; Rise       ; clk             ;
;  cbus_addr_o[10] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
;  cbus_addr_o[11] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
;  cbus_addr_o[12] ; clk        ; 3.655 ; 3.668 ; Rise       ; clk             ;
;  cbus_addr_o[13] ; clk        ; 3.559 ; 3.554 ; Rise       ; clk             ;
;  cbus_addr_o[14] ; clk        ; 3.595 ; 3.608 ; Rise       ; clk             ;
;  cbus_addr_o[15] ; clk        ; 3.605 ; 3.618 ; Rise       ; clk             ;
;  cbus_addr_o[16] ; clk        ; 3.609 ; 3.622 ; Rise       ; clk             ;
;  cbus_addr_o[17] ; clk        ; 3.576 ; 3.571 ; Rise       ; clk             ;
;  cbus_addr_o[18] ; clk        ; 3.577 ; 3.572 ; Rise       ; clk             ;
;  cbus_addr_o[19] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[20] ; clk        ; 3.585 ; 3.580 ; Rise       ; clk             ;
;  cbus_addr_o[21] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
;  cbus_addr_o[22] ; clk        ; 3.584 ; 3.579 ; Rise       ; clk             ;
;  cbus_addr_o[23] ; clk        ; 3.572 ; 3.567 ; Rise       ; clk             ;
;  cbus_addr_o[24] ; clk        ; 3.580 ; 3.575 ; Rise       ; clk             ;
;  cbus_addr_o[25] ; clk        ; 3.581 ; 3.574 ; Rise       ; clk             ;
;  cbus_addr_o[26] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[27] ; clk        ; 3.606 ; 3.601 ; Rise       ; clk             ;
;  cbus_addr_o[28] ; clk        ; 3.578 ; 3.573 ; Rise       ; clk             ;
;  cbus_addr_o[29] ; clk        ; 3.599 ; 3.594 ; Rise       ; clk             ;
;  cbus_addr_o[30] ; clk        ; 3.608 ; 3.621 ; Rise       ; clk             ;
;  cbus_addr_o[31] ; clk        ; 3.568 ; 3.563 ; Rise       ; clk             ;
; cbus_cmd0_o[*]   ; clk        ; 4.669 ; 4.778 ; Rise       ; clk             ;
;  cbus_cmd0_o[0]  ; clk        ; 4.838 ; 4.778 ; Rise       ; clk             ;
;  cbus_cmd0_o[1]  ; clk        ; 4.779 ; 4.946 ; Rise       ; clk             ;
;  cbus_cmd0_o[2]  ; clk        ; 4.669 ; 4.820 ; Rise       ; clk             ;
; cbus_cmd1_o[*]   ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
;  cbus_cmd1_o[0]  ; clk        ; 5.035 ; 4.954 ; Rise       ; clk             ;
;  cbus_cmd1_o[1]  ; clk        ; 4.821 ; 4.952 ; Rise       ; clk             ;
;  cbus_cmd1_o[2]  ; clk        ; 4.602 ; 4.661 ; Rise       ; clk             ;
; cbus_cmd2_o[*]   ; clk        ; 4.875 ; 4.830 ; Rise       ; clk             ;
;  cbus_cmd2_o[0]  ; clk        ; 4.886 ; 4.830 ; Rise       ; clk             ;
;  cbus_cmd2_o[1]  ; clk        ; 4.889 ; 5.045 ; Rise       ; clk             ;
;  cbus_cmd2_o[2]  ; clk        ; 4.875 ; 4.927 ; Rise       ; clk             ;
; cbus_cmd3_o[*]   ; clk        ; 4.709 ; 4.804 ; Rise       ; clk             ;
;  cbus_cmd3_o[0]  ; clk        ; 4.899 ; 4.804 ; Rise       ; clk             ;
;  cbus_cmd3_o[1]  ; clk        ; 4.709 ; 4.814 ; Rise       ; clk             ;
;  cbus_cmd3_o[2]  ; clk        ; 4.727 ; 4.868 ; Rise       ; clk             ;
; mbus_ack0_o      ; clk        ; 3.573 ; 3.568 ; Rise       ; clk             ;
; mbus_ack1_o      ; clk        ; 3.579 ; 3.574 ; Rise       ; clk             ;
; mbus_ack2_o      ; clk        ; 3.589 ; 3.602 ; Rise       ; clk             ;
; mbus_ack3_o      ; clk        ; 3.597 ; 3.610 ; Rise       ; clk             ;
+------------------+------------+-------+-------+------------+-----------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                      ;
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; Pin             ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; cbus_addr_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[3]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[4]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[5]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[6]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[7]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[8]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[9]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[10] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[11] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[12] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[13] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[14] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[15] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[16] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[17] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[18] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[19] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[20] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[21] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[22] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[23] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[24] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[25] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[26] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[27] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[28] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[29] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[30] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_addr_o[31] ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; mbus_ack3_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; mbus_ack2_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; mbus_ack1_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; mbus_ack0_o     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
+-----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+


+------------------------------------------------------------------------+
; Input Transition Times                                                 ;
+---------------------+--------------+-----------------+-----------------+
; Pin                 ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
+---------------------+--------------+-----------------+-----------------+
; clk                 ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; rst                 ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; cbus_ack3_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; cbus_ack2_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; cbus_ack1_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; cbus_ack0_i         ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd3_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd3_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd3_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd2_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd2_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd2_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd1_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd1_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd1_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd0_i[0]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd0_i[1]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_cmd0_i[2]      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[0]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[1]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[2]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[3]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[4]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[5]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[6]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[7]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[8]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[9]     ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[10]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[11]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[12]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[13]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[14]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[15]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[16]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[17]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[18]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[19]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[20]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[21]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[22]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[23]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[24]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[25]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[26]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[27]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[28]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[29]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[30]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr2_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr3_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr0_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; mbus_addr1_i[31]    ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_DATA0~      ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_ASDO_DATA1~ ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
; ~ALTERA_NCSO~       ; 2.5 V        ; 2000 ps         ; 2000 ps         ;
+---------------------+--------------+-----------------+-----------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Slow 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.39 V              ; -0.0265 V           ; 0.2 V                                ; 0.033 V                              ; 2.94e-10 s                  ; 3.12e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.39 V             ; -0.0265 V          ; 0.2 V                               ; 0.033 V                             ; 2.94e-10 s                 ; 3.12e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 4.44e-09 V                   ; 2.38 V              ; -0.0145 V           ; 0.169 V                              ; 0.026 V                              ; 4.83e-10 s                  ; 4.71e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 4.44e-09 V                  ; 2.38 V             ; -0.0145 V          ; 0.169 V                             ; 0.026 V                             ; 4.83e-10 s                 ; 4.71e-10 s                 ; Yes                       ; Yes                       ;
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.95e-09 V                   ; 2.38 V              ; -0.016 V            ; 0.22 V                               ; 0.025 V                              ; 3.06e-10 s                  ; 3.3e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 5.95e-09 V                  ; 2.38 V             ; -0.016 V           ; 0.22 V                              ; 0.025 V                             ; 3.06e-10 s                 ; 3.3e-10 s                  ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 3.99e-09 V                   ; 2.53 V              ; -0.0412 V           ; 0.279 V                              ; 0.088 V                              ; 1.14e-10 s                  ; 2.15e-10 s                  ; No                         ; Yes                        ; 2.32 V                      ; 3.99e-09 V                  ; 2.53 V             ; -0.0412 V          ; 0.279 V                             ; 0.088 V                             ; 1.14e-10 s                 ; 2.15e-10 s                 ; No                        ; Yes                       ;
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 5.21e-09 V                   ; 2.38 V              ; -0.0508 V           ; 0.161 V                              ; 0.093 V                              ; 2.91e-10 s                  ; 2.66e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 5.21e-09 V                  ; 2.38 V             ; -0.0508 V          ; 0.161 V                             ; 0.093 V                             ; 2.91e-10 s                 ; 2.66e-10 s                 ; Yes                       ; Yes                       ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Slow 1200mv 85c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                             ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.36 V              ; -0.00476 V          ; 0.096 V                              ; 0.013 V                              ; 4.39e-10 s                  ; 4.15e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.36 V             ; -0.00476 V         ; 0.096 V                             ; 0.013 V                             ; 4.39e-10 s                 ; 4.15e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 7.16e-07 V                   ; 2.35 V              ; -0.00832 V          ; 0.101 V                              ; 0.024 V                              ; 6.39e-10 s                  ; 6e-10 s                     ; Yes                        ; Yes                        ; 2.32 V                      ; 7.16e-07 V                  ; 2.35 V             ; -0.00832 V         ; 0.101 V                             ; 0.024 V                             ; 6.39e-10 s                 ; 6e-10 s                    ; Yes                       ; Yes                       ;
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.06e-06 V                   ; 2.36 V              ; -0.00724 V          ; 0.107 V                              ; 0.02 V                               ; 4.5e-10 s                   ; 4.25e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.06e-06 V                  ; 2.36 V             ; -0.00724 V         ; 0.107 V                             ; 0.02 V                              ; 4.5e-10 s                  ; 4.25e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 6.99e-07 V                   ; 2.39 V              ; -0.0291 V           ; 0.081 V                              ; 0.039 V                              ; 1.9e-10 s                   ; 2.97e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 6.99e-07 V                  ; 2.39 V             ; -0.0291 V          ; 0.081 V                             ; 0.039 V                             ; 1.9e-10 s                  ; 2.97e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 8.5e-07 V                    ; 2.35 V              ; -0.0159 V           ; 0.081 V                              ; 0.032 V                              ; 4.24e-10 s                  ; 3.5e-10 s                   ; Yes                        ; Yes                        ; 2.32 V                      ; 8.5e-07 V                   ; 2.35 V             ; -0.0159 V          ; 0.081 V                             ; 0.032 V                             ; 4.24e-10 s                 ; 3.5e-10 s                  ; Yes                       ; Yes                       ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Signal Integrity Metrics (Fast 1200mv 0c Model)                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin             ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; cbus_addr_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[3]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[4]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[5]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[6]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[7]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[8]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[9]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[10] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[11] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[12] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[13] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[14] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[15] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[16] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[17] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[18] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[19] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[20] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[21] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[22] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[23] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[24] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[25] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.73 V              ; -0.0384 V           ; 0.169 V                              ; 0.089 V                              ; 2.7e-10 s                   ; 2.62e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.73 V             ; -0.0384 V          ; 0.169 V                             ; 0.089 V                             ; 2.7e-10 s                  ; 2.62e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[26] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[27] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[28] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[29] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_addr_o[30] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_addr_o[31] ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd3_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd3_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd3_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd2_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd2_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd2_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd1_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd1_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd1_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd0_o[0]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; cbus_cmd0_o[1]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; cbus_cmd0_o[2]  ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; mbus_ack3_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; mbus_ack2_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.74e-08 V                   ; 2.71 V              ; -0.0317 V           ; 0.148 V                              ; 0.064 V                              ; 4.51e-10 s                  ; 4.15e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.74e-08 V                  ; 2.71 V             ; -0.0317 V          ; 0.148 V                             ; 0.064 V                             ; 4.51e-10 s                 ; 4.15e-10 s                 ; No                        ; Yes                       ;
; mbus_ack1_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; mbus_ack0_o     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.05e-08 V                   ; 2.72 V              ; -0.0349 V           ; 0.173 V                              ; 0.1 V                                ; 2.72e-10 s                  ; 2.69e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.05e-08 V                  ; 2.72 V             ; -0.0349 V          ; 0.173 V                             ; 0.1 V                               ; 2.72e-10 s                 ; 2.69e-10 s                 ; Yes                       ; Yes                       ;
; ~ALTERA_NCEO~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 2.65e-08 V                   ; 3.12 V              ; -0.147 V            ; 0.571 V                              ; 0.186 V                              ; 8.91e-11 s                  ; 1.76e-10 s                  ; No                         ; Yes                        ; 2.62 V                      ; 2.65e-08 V                  ; 3.12 V             ; -0.147 V           ; 0.571 V                             ; 0.186 V                             ; 8.91e-11 s                 ; 1.76e-10 s                 ; No                        ; Yes                       ;
; ~ALTERA_DCLK~   ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 3.26e-08 V                   ; 2.73 V              ; -0.0622 V           ; 0.148 V                              ; 0.088 V                              ; 2.68e-10 s                  ; 2.25e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 3.26e-08 V                  ; 2.73 V             ; -0.0622 V          ; 0.148 V                             ; 0.088 V                             ; 2.68e-10 s                 ; 2.25e-10 s                 ; Yes                       ; Yes                       ;
+-----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+-------------------------------------------------------------------+
; Setup Transfers                                                   ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; clk        ; clk      ; 126010   ; 0        ; 0        ; 0        ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+-------------------------------------------------------------------+
; Hold Transfers                                                    ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; clk        ; clk      ; 126010   ; 0        ; 0        ; 0        ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design


---------------
; Report RSKM ;
---------------
No dedicated SERDES Receiver circuitry present in device or used in design


+------------------------------------------------+
; Unconstrained Paths                            ;
+---------------------------------+-------+------+
; Property                        ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks                  ; 0     ; 0    ;
; Unconstrained Clocks            ; 0     ; 0    ;
; Unconstrained Input Ports       ; 1     ; 1    ;
; Unconstrained Input Port Paths  ; 640   ; 640  ;
; Unconstrained Output Ports      ; 0     ; 0    ;
; Unconstrained Output Port Paths ; 0     ; 0    ;
+---------------------------------+-------+------+


+------------------------------------+
; TimeQuest Timing Analyzer Messages ;
+------------------------------------+
Info: *******************************************************************
Info: Running Quartus II 32-bit TimeQuest Timing Analyzer
    Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
    Info: Processing started: Tue Dec 25 14:04:04 2012
Info: Command: quartus_sta mesi_isc -c mesi_isc
Info: qsta_default_script.tcl version: #2
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'.
Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'.
Info (332104): Reading SDC File: 'mesi_isc.sdc'
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Analyzing Slow 1200mV 85C Model
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -8.340
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -8.340     -2724.862 clk 
Info (332146): Worst-case hold slack is -0.278
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -0.278        -0.443 clk 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000      -643.000 clk 
Info: Analyzing Slow 1200mV 0C Model
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -7.387
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -7.387     -2375.975 clk 
Info (332146): Worst-case hold slack is -0.237
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -0.237        -0.279 clk 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000      -643.000 clk 
Info: Analyzing Fast 1200mV 0C Model
Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -4.682
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -4.682     -1313.549 clk 
Info (332146): Worst-case hold slack is -0.271
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -0.271        -3.470 clk 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000      -651.247 clk 
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings
    Info: Peak virtual memory: 371 megabytes
    Info: Processing ended: Tue Dec 25 14:04:07 2012
    Info: Elapsed time: 00:00:03
    Info: Total CPU time (on all processors): 00:00:03


Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.