OpenCores
URL https://opencores.org/ocsvn/mpeg2fpga/mpeg2fpga/trunk

Subversion Repositories mpeg2fpga

[/] [mpeg2fpga/] [trunk/] [tools/] [ieee1180/] [ieee1180/] [dct.h] - Rev 2

Compare with Previous | Blame | View Log

/* define DCT types */
 
/*
 * DCTSIZE      underlying (1d) transform size
 * DCTSIZE2     DCTSIZE squared
 */
 
#define DCTSIZE      (8)
#define DCTSIZE2     (DCTSIZE*DCTSIZE)
 
#define EIGHT_BIT_SAMPLES	/* needed in jrevdct.c */
 
typedef short DCTELEM;		/* must be at least 16 bits */
 
typedef DCTELEM DCTBLOCK[DCTSIZE2];
 
typedef long INT32;		/* must be at least 32 bits */
 
extern void j_fwd_dct();
extern void j_rev_dct();
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.