OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [msi/] [PowerSequencer/] [Makefile] - Rev 2

Compare with Previous | Blame | View Log

DISTRI_ROOT="/d/lib/vhdl"
#
tarball:
        tar -cvzf PowerSequencer.tgz    \
        $(DISTRI_ROOT)/tb/clk_rst/clk_rst.vhd   \
        $(DISTRI_ROOT)/tb/clk_rst/clk_rst_tb.vhd        \
        $(DISTRI_ROOT)/tb/clk_rst/clk_rst_tb.do \
        $(DISTRI_ROOT)/tb/clk_rst/clk_rst_wave.do       \
        $(DISTRI_ROOT)/tb/clk_rst/doc/clk_rst_wave.png \
        $(DISTRI_ROOT)/tb/PowerSupply/PowerSupply.vhd   \
        $(DISTRI_ROOT)/tb/PowerSupply/PowerSupply_tb.vhd        \
        $(DISTRI_ROOT)/tb/PowerSupply/PowerSupply_tb.do \
        $(DISTRI_ROOT)/tb/PowerSupply/PowerSupply_wave.do       \
        $(DISTRI_ROOT)/tb/PowerSupply/doc/PowerSupply.png       \
        $(DISTRI_ROOT)/msi/retrigg_timer/retrigg_timer.vhd      \
        $(DISTRI_ROOT)/msi/retrigg_timer/retrigg_timer_tb.vhd   \
        $(DISTRI_ROOT)/msi/retrigg_timer/retrigg_timer_tb.do    \
        $(DISTRI_ROOT)/msi/retrigg_timer/retrigg_timer_wave.do  \
        $(DISTRI_ROOT)/msi/retrigg_timer/doc/retrigg_timer.png  \
        $(DISTRI_ROOT)/msi/PowerSequencer/Makefile      \
        $(DISTRI_ROOT)/msi/PowerSequencer/PowerSequencer.vhd    \
        $(DISTRI_ROOT)/msi/PowerSequencer/PowerSequencer_tb.vhd \
        $(DISTRI_ROOT)/msi/PowerSequencer/PowerSequencer_tb.do  \
        $(DISTRI_ROOT)/msi/PowerSequencer/PowerSequencer_wave.do        \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/ps_sequencer_usage.png    \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/ResultOfSimulation.png    \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/ZoomIntoPowerUp.png       \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/ZoomIntoPowerDown.png     \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/PS2DeclaresItselfFaultyAt90ms.png \
        $(DISTRI_ROOT)/msi/PowerSequencer/doc/PowerSequencer.odt

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.