OpenCores
URL https://opencores.org/ocsvn/powersupplysequencer/powersupplysequencer/trunk

Subversion Repositories powersupplysequencer

[/] [powersupplysequencer/] [vhdl/] [tb/] [clk_rst/] [clk_rst_tb.do] - Rev 2

Compare with Previous | Blame | View Log

vsim clk_rst_tb
log -r /*
do clk_rst_wave.do
run 2.5 us

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.