OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_sequence_item.svh] - Rev 49

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

class spi_sequence_item
  extends uvm_sequence_item;
  `uvm_object_utils(spi_sequence_item)

  // --------------------------------------------------------------------
  rand int data_width; // data size in bits

  // --------------------------------------------------------------------
  logic miso_data[]; // data from slave to master
  logic mosi_data[]; // data from master to slave
  bit read;
  bit write;

  // --------------------------------------------------------------------
  function new(string name = "");
    super.new(name);
  endfunction : new

  // --------------------------------------------------------------------
  function void init(bit read, bit write, int data_width);
    this.read = read;
    this.write = write;
    this.data_width = data_width;
    this.miso_data = new[data_width];
    this.mosi_data = new[data_width];

    if(write)
      foreach(this.mosi_data[i])
        mosi_data[i] = 0;
  endfunction : init

  // // --------------------------------------------------------------------
  // function bit do_compare(uvm_object rhs, uvm_comparer comparer);
    // spi_sequence_item tested;
    // bit same;

    // if (rhs==null)
      // `uvm_fatal(get_type_name(), "| %m | comparison to a null pointer");

    // if (!$cast(tested,rhs))
      // same = 0;
    // else
      // same  = super.do_compare(rhs, comparer);

    // return same;
  // endfunction : do_compare

  // // --------------------------------------------------------------------
  // function void do_copy(uvm_object rhs);
    // spi_sequence_item item;
    // assert(rhs != null) else
      // `uvm_fatal(get_type_name(), "| %m | copy null transaction");
    // super.do_copy(rhs);
    // assert($cast(item,rhs)) else
      // `uvm_fatal(get_type_name(), "| %m | failed cast");
    // delay     = item.delay;
    // command   = item.command;
    // wr_full   = item.wr_full;
    // rd_empty  = item.rd_empty;
    // wr_data   = item.wr_data;
    // rd_data   = item.rd_data;
    // count     = item.count;
  // endfunction : do_copy

  // --------------------------------------------------------------------
  function string convert2string();
    string s0, s1, s2, s3;
    byte data[];

    s0 = $sformatf( "\n| %m | rd | wr | data width |\n");
    s1 = $sformatf( "| %m | %1h  | %1h  | %d |\n"
                  , read
                  , write
                  , data_width
                  );
    s0 = {s0, s1};

    if(read)
    begin
      data = {>>{miso_data}};

      foreach(data[i])
        s2 = {s2, $sformatf("%2h|", data[i])};

      s2 = $sformatf("| %m | miso_data: |%s\n" , s2);
      s0 = {s0, s2};
    end

    if(write)
    begin
      data = {>>{mosi_data}};

      foreach(data[i])
        s3 = {s3, $sformatf("%2h|", data[i])};

      s3 = $sformatf("| %m | mosi_data: |%s\n" , s3);
      s0 = {s0, s3};
    end

    return s0;
  endfunction : convert2string

// --------------------------------------------------------------------
endclass : spi_sequence_item

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.