OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [tb_spi_pkg.sv] - Rev 48

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

package tb_spi_pkg;
  import uvm_pkg::*;
  `include "uvm_macros.svh"
  import bfm_pkg::*;

  // // --------------------------------------------------------------------
  // localparam W = 16;
  // localparam D = 8;
  // localparam UB = $clog2(D);

  // --------------------------------------------------------------------
  // typedef enum {FIFO_RD, FIFO_WR, FIFO_BOTH, FIFO_NULL} fifo_command_t;

  // --------------------------------------------------------------------
  `include "spi_sequence_item.svh"
  typedef uvm_sequencer #(spi_sequence_item) spi_sequencer;
  `include "spi_driver.svh"
  // `include "spi_monitor.svh"
  // `include "spi_scoreboard.svh"
  `include "spi_agent.svh"
  // `include "tb_env.svh"
  
  // `include "s_debug.svh"
  // `include "t_top_base.svh"
  // `include "t_debug.svh"

// --------------------------------------------------------------------
endpackage : tb_spi_pkg

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.