OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [anf/] [numeric_frame.svh] - Rev 50

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

// --------------------------------------------------------------------
class numeric_frame #(type T = shortreal) extends video_frame_class;
  numeric_array #(T) a_h;

  // --------------------------------------------------------------------
  function void init
  (
    array_shape_t shape,
    int pixels_per_clk = 1,
    string name = ""
 );
    a_h = new(shape);
    super.init
    (
      a_h.shape[1],
      a_h.shape[0],
      a_h.bits(),
      pixels_per_clk,
      name
    );
  endfunction

  // --------------------------------------------------------------------
  function void make_1d_frame;
    lines = new[lines_per_frame];
    foreach(lines[l])
    begin
      lines[l].pixel = new[pixels_per_line];
      foreach(lines[l].pixel[p])
        lines[l].pixel[p] = a_h.to_bits('{(l*lines_per_frame)+p});
    end
  endfunction

  // --------------------------------------------------------------------
  function void make_2d_frame;
    lines = new[lines_per_frame];
    foreach(lines[l])
    begin
      lines[l].pixel = new[pixels_per_line];
      foreach(lines[l].pixel[p])
        lines[l].pixel[p] = a_h.to_bits('{l,p});
    end
  endfunction

// --------------------------------------------------------------------
endclass

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.