OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [legacy/] [avf_agent.sv] - Rev 46

Go to most recent revision | Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////


import axis_video_frame_bfm_pkg::*;

module
  avf_agent
  #(
    BYTES_PER_PIXEL     = 2,
    AVF_OUTPUTS         = 4,
    AVF_TILES           = 1,
    AVF_WIDTH           = 32,
    AVF_HEIGHT          = 16,
    AVF_BITS_PER_PIXEL  = 16
  )
  (
    axis_if axis_out[AVF_TILES],
    axis_if axis_in[AVF_TILES]
  );

  // --------------------------------------------------------------------
  //
  axis_video_frame_bfm_class #(BYTES_PER_PIXEL, AVF_OUTPUTS) f_tx_h[AVF_TILES];

  for(genvar j = 0; j < AVF_TILES; j++)
    initial
    begin
      f_tx_h[j] = new(axis_out[j]);

      f_tx_h[j].init
      (
        .avf_width(AVF_WIDTH),
        .avf_height(AVF_HEIGHT),
        .avf_bits_per_pixel(AVF_BITS_PER_PIXEL),
        .avf_name($psprintf("AVF_%0d", j)),
        .avf_type("TX")
      );
    end


  // --------------------------------------------------------------------
  //
  axis_video_frame_bfm_class #(BYTES_PER_PIXEL, AVF_OUTPUTS) f_rx_h[AVF_TILES];

  for(genvar j = 0; j < AVF_TILES; j++)
    initial
    begin
      f_rx_h[j] = new(axis_in[j]);

      f_rx_h[j].init
      (
        .avf_width(AVF_WIDTH),
        .avf_height(AVF_HEIGHT),
        .avf_bits_per_pixel(AVF_BITS_PER_PIXEL),
        .avf_name($psprintf("AVF_%0d", j)),
        .avf_type("RX")
      );
    end


  // --------------------------------------------------------------------
  //
  avf_tx #(BYTES_PER_PIXEL, AVF_OUTPUTS, AVF_TILES)
    avf_tx_bfm(.*);


  // --------------------------------------------------------------------
  //
  avf_rx #(BYTES_PER_PIXEL, AVF_OUTPUTS, AVF_TILES)
    avf_rx_bfm(.*);


  // --------------------------------------------------------------------
  //

endmodule




Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.