OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [src/] [tb_riffa_debug/] [vs_top_base.svh] - Rev 50

Compare with Previous | Blame | View Log

// --------------------------------------------------------------------
class vs_top_base
  extends uvm_sequence #(uvm_sequence_item);
  `uvm_object_utils(vs_top_base)

  // --------------------------------------------------------------------
  riffa_rp_tx_sequencer tx_h;
  riffa_rp_rx_sequencer rx_h;
  s_riffa_rp_rx_api rx_api_h;
  s_riffa_rp_tx_api tx_api_h;

  // --------------------------------------------------------------------
  task init(riffa_env #(N) env_h);
    this.tx_h = env_h.agent_h.rp_tx_sequencer_h;
    this.rx_h = env_h.agent_h.rp_rx_sequencer_h;
    this.rx_api_h = s_riffa_rp_rx_api::type_id::create("rx_api_h");
    this.tx_api_h = s_riffa_rp_tx_api::type_id::create("tx_api_h");
  endtask: init

  // --------------------------------------------------------------------
  function new(string name = "vs_top_base");
    super.new(name);
  endfunction

// --------------------------------------------------------------------
endclass

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.