OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_axis_test_pattern/] [the_test.sv] - Rev 34

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

`timescale 1ps/1ps


module the_test(input tb_clk, input tb_rst);

  // --------------------------------------------------------------------
  //
  import tb_riffa_axis_test_pattern_pkg::*;
  import riffa_agent_class_pkg::*;
  import riffa_bfm_class_pkg::*;


  // --------------------------------------------------------------------
  //
  task run_the_test;

    // --------------------------------------------------------------------
    // insert test below
    // --------------------------------------------------------------------
    $display("^^^---------------------------------");
    $display("^^^ %16.t | Testbench begun.\n", $time);
    $display("^^^---------------------------------");
    // --------------------------------------------------------------------

    // --------------------------------------------------------------------
    tb_top.tb.timeout_stop(500us);

    // --------------------------------------------------------------------
    wait(~tb_rst);

    // --------------------------------------------------------------------
    #200ns;

    // --------------------------------------------------------------------
    tb_top.a_h.queue_rx(TX_L, 0, 1);
    tb_top.a_h.wait_for_rx();

    // --------------------------------------------------------------------
    #1us;

    // --------------------------------------------------------------------
    tb_top.a_h.queue_rx(TX_L, 0, 1);
    tb_top.a_h.wait_for_rx();

    // --------------------------------------------------------------------
    #1us;

    // --------------------------------------------------------------------
    tb_top.a_h.queue_rx(TX_L, 0, 1);
    tb_top.a_h.wait_for_rx();

    // --------------------------------------------------------------------
    #1us;

    // // --------------------------------------------------------------------
    // $display("^^^ %16.t | q.num() = %d", $time, tb_top.a_h.q.num());

    // --------------------------------------------------------------------
    // insert test above
    // --------------------------------------------------------------------

  endtask


endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.