OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_register_file/] [tb_top.sv] - Rev 50

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

module tb_top;
  import uvm_pkg::*;
  import tb_top_pkg::*;
  import riffa_pkg::*;
  `include "uvm_macros.svh"

  // --------------------------------------------------------------------
  wire clk_100mhz;
  wire tb_clk = clk_100mhz;
  wire tb_rst;
  wire clk_1000mhz;

  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);

  // --------------------------------------------------------------------
  wire clk = clk_100mhz;
  wire reset;

  sync_reset sync_reset_i(tb_clk, tb_rst, reset);

  // --------------------------------------------------------------------
  riffa_chnl_if #(N) chnl_bus(.*);
  riffa_register_if #(.N(N), .B(B)) r_if(.*); // dword sized (32 bit) registers

  riffa_register_file #(.N(N), .B(B))
    dut(.*);

  // --------------------------------------------------------------------
  for(genvar j = 0; j < r_if.RC; j++)
    assign r_if.register_in[j] = r_if.register_out[j];

  // --------------------------------------------------------------------
  riffa_config #(N) cfg_h = new(chnl_bus);

  initial
  begin
    uvm_config_db #(riffa_config #(N))::set(null, "*", "riffa_config", cfg_h);
    run_test("t_debug");
  end

// --------------------------------------------------------------------
endmodule

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.