OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [sim/] [tests/] [legacy/] [tb_axis_gear_box/] [tests_base_pkg.sv] - Rev 50

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

// --------------------------------------------------------------------
//
package tests_base_pkg;

  // --------------------------------------------------------------------
  //
  import uvm_pkg::*;
  `include "uvm_macros.svh"
  import tb_axis_gear_box_pkg::*;

  // --------------------------------------------------------------------
  //
  virtual class test_base extends uvm_test;
    `uvm_component_utils(test_base);
    tb_env env_h;

    // --------------------------------------------------------------------
    //
    function void build_phase(uvm_phase phase);
      env_h = tb_env::type_id::create("env_h",this);
    endfunction : build_phase

    // --------------------------------------------------------------------
    //
    function new (string name, uvm_component parent);
      super.new(name,parent);
    endfunction : new

  // --------------------------------------------------------------------
  //
  endclass : test_base

  // --------------------------------------------------------------------
  //
  class test_debug_base extends test_base;
     `uvm_component_utils(test_debug_base)

    // --------------------------------------------------------------------
    //
    function new (string name, uvm_component parent);
      super.new(name,parent);
    endfunction : new

    // --------------------------------------------------------------------
    //
    function void final_phase(uvm_phase phase);
      super.final_phase(phase);
      $display("^^^ %16.t | %m | Test Done!!!", $time);
      $stop;
    endfunction : final_phase

  // --------------------------------------------------------------------
  //
  endclass : test_debug_base

// --------------------------------------------------------------------
//
endpackage: tests_base_pkg

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.