OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [video/] [sim/] [tests/] [tb_avf_kernel_1x_buffer/] [s_debug.svh] - Rev 49

Compare with Previous | Blame | View Log

//////////////////////////////////////////////////////////////////////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
//////////////////////////////////////////////////////////////////////

class s_debug extends s_avf_base;
  `uvm_object_utils(s_debug)

  // --------------------------------------------------------------------
  task body();
    fork
    begin
      #(100us);
      $stop;
    end
    join_none
  
    avf_api_h.put_frame("counting");
    avf_api_h.put_frame("counting");
    // avf_api_h.put_frame("counting");
    // avf_api_h.put_frame("counting");
    // avf_api_h.put_frame("counting");
    // avf_api_h.put_frame("constant", 16'habba);
    // avf_api_h.put_frame("horizontal");
    // avf_api_h.put_frame("vertical");
    // avf_api_h.put_frame("random");

    avf_api_h.send_frame_buffer(m_sequencer, this);
    #(2us);
  endtask: body

// --------------------------------------------------------------------
endclass : s_debug

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.