OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [cmod.ucf] - Rev 3

Go to most recent revision | Compare with Previous | Blame | View Log

#FPGA_GCLK
NET "i_clk_8mhz" LOC = "N8" | IOSTANDARD = LVCMOS33;
NET "i_clk_8mhz" TNM_NET = "i_clk_8mhz";
TIMESPEC "TSi_clk_8mhz" = PERIOD "i_clk_8mhz" 125.0 ns HIGH 50%;

#CLK_LFC
# NET "i_clk_pps" LOC = "N7" | IOSTANDARD = LVCMOS33;

#BTNs
NET "i_btn<0>" LOC = "P8" | IOSTANDARD = LVCMOS33;
NET "i_btn<1>" LOC = "P9" | IOSTANDARD = LVCMOS33;

#LEDs
NET "o_led<0>" LOC = "N3" | IOSTANDARD = LVCMOS33;
NET "o_led<1>" LOC = "P3" | IOSTANDARD = LVCMOS33;
NET "o_led<2>" LOC = "N4" | IOSTANDARD = LVCMOS33;
NET "o_led<3>" LOC = "P4" | IOSTANDARD = LVCMOS33;

# Flash
NET "o_qspi_sck"     LOC="N13"  | IOSTANDARD = LVCMOS33;
NET "o_qspi_cs_n"    LOC="P2"   | IOSTANDARD = LVCMOS33;
NET "io_qspi_dat<0>" LOC="P11"   | IOSTANDARD = LVCMOS33;
NET "io_qspi_dat<1>" LOC="N11"  | IOSTANDARD = LVCMOS33;
NET "io_qspi_dat<2>" LOC="N10"  | IOSTANDARD = LVCMOS33;
NET "io_qspi_dat<3>" LOC="P10"  | IOSTANDARD = LVCMOS33;

#DEPP Signals
# NET "DEPP_WAIT" LOC = "B6" | IOSTANDARD = LVCMOS33;
# NET "DEPP_ASTB" LOC = "A6" | IOSTANDARD = LVCMOS33;
# NET "DEPP_DSTB" LOC = "B7" | IOSTANDARD = LVCMOS33;
# NET "DEPP_WRITE" LOC = "A7" | IOSTANDARD = LVCMOS33;
# NET "DBUS<0>" LOC = "B9" | IOSTANDARD = LVCMOS33;
# NET "DBUS<1>" LOC = "A9" | IOSTANDARD = LVCMOS33;
# NET "DBUS<2>" LOC = "B10" | IOSTANDARD = LVCMOS33;
# NET "DBUS<3>" LOC = "A10" | IOSTANDARD = LVCMOS33;
# NET "DBUS<4>" LOC = "B11" | IOSTANDARD = LVCMOS33;
# NET "DBUS<5>" LOC = "A11" | IOSTANDARD = LVCMOS33;
# NET "DBUS<6>" LOC = "B12" | IOSTANDARD = LVCMOS33;
# NET "DBUS<7>" LOC = "A12" | IOSTANDARD = LVCMOS33;

#IO PORTs

# #A
NET "i_uart" LOC = "P5"  | IOSTANDARD = LVCMOS33;
NET "o_uart" LOC = "N5"  | IOSTANDARD = LVCMOS33;
NET "o_pwm"  LOC = "P12" | IOSTANDARD = LVCMOS33;
NET "io_scl"  LOC = "N6" | IOSTANDARD = LVCMOS33 | PULLUP;      # io_scl
NET "io_sda"  LOC = "P7" | IOSTANDARD = LVCMOS33 | PULLUP;      # io_sda
NET "o_pwm_shutdown_n" LOC = "K1" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "o_pwm_gain"       LOC = "K2" | IOSTANDARD = LVCMOS33 | PULLUP;

#
NET "o_gpio<2>"  LOC = "N12" | IOSTANDARD = LVCMOS33;   # o_mosi
NET "o_gpio<3>"  LOC = "L14" | IOSTANDARD = LVCMOS33;   # o_sck
NET "o_gpio<4>"  LOC = "L13" | IOSTANDARD = LVCMOS33;   # o_ss
NET "o_gpio<5>"  LOC = "K14" | IOSTANDARD = LVCMOS33;
NET "o_gpio<6>"  LOC = "K13" | IOSTANDARD = LVCMOS33;
NET "o_gpio<7>"  LOC = "J14" | IOSTANDARD = LVCMOS33;
NET "o_gpio<8>"  LOC = "J13" | IOSTANDARD = LVCMOS33;
NET "o_gpio<9>"  LOC = "H14" | IOSTANDARD = LVCMOS33;
NET "o_gpio<10>" LOC = "H13" | IOSTANDARD = LVCMOS33;
NET "o_gpio<11>" LOC = "F14" | IOSTANDARD = LVCMOS33;
NET "o_gpio<12>" LOC = "F13" | IOSTANDARD = LVCMOS33;
NET "o_gpio<13>" LOC = "G14" | IOSTANDARD = LVCMOS33;
NET "o_gpio<14>" LOC = "G13" | IOSTANDARD = LVCMOS33;
NET "o_gpio<15>" LOC = "E14" | IOSTANDARD = LVCMOS33;

# NET "i_gpio<0>"  LOC = "A3" | IOSTANDARD = LVCMOS33;
# NET "i_gpio<1>"  LOC = "B3" | IOSTANDARD = LVCMOS33;
NET "i_gpio<2>"  LOC = "A2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<3>"  LOC = "B1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<4>"  LOC = "C1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<5>"  LOC = "D1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<6>"  LOC = "D2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<7>"  LOC = "E1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<8>"  LOC = "E2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<9>"  LOC = "F1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<10>" LOC = "F2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<11>" LOC = "H1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<12>" LOC = "H2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<13>" LOC = "G1" | IOSTANDARD = LVCMOS33;
NET "i_gpio<14>" LOC = "G2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<15>" LOC = "J1" | IOSTANDARD = LVCMOS33;

# NET "PORTA<0>" LOC = "P5" | IOSTANDARD = LVCMOS33 | PULLUP;    # i_uart
# NET "PORTA<1>" LOC = "N5" | IOSTANDARD = LVCMOS33 | PULLUP;   # o_uart
# NET "PORTA<2>" LOC = "N6" | IOSTANDARD = LVCMOS33 | PULLUP;   # io_scl
# NET "PORTA<3>" LOC = "P7" | IOSTANDARD = LVCMOS33 | PULLUP;   # io_sda
# NET "PORTA<4>" LOC = "P12" | IOSTANDARD = LVCMOS33 | PULLUP;  # o_pwm
# NET "PORTA<5>" LOC = "N12" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTA<6>" LOC = "L14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTA<7>" LOC = "L13" | IOSTANDARD = LVCMOS33 | PULLUP;
# 
# #B    Input ports
# NET "PORTB<0>" LOC = "K14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<1>" LOC = "K13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<2>" LOC = "J14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<3>" LOC = "J13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<4>" LOC = "H14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<5>" LOC = "H13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<6>" LOC = "F14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTB<7>" LOC = "F13" | IOSTANDARD = LVCMOS33 | PULLUP;
# 
# #C    Input ports
# NET "PORTC<0>" LOC = "G14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<1>" LOC = "G13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<2>" LOC = "E14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<3>" LOC = "E13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<4>" LOC = "D14" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<5>" LOC = "D13" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTC<6>" LOC = "C13" | IOSTANDARD = LVCMOS33 | PULLUP;
# 
# #D    Output ports
# NET "PORTD<0>" LOC = "A3" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<1>" LOC = "B3" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<2>" LOC = "A2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<3>" LOC = "B1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<4>" LOC = "C1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<5>" LOC = "D1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<6>" LOC = "D2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTD<7>" LOC = "E1" | IOSTANDARD = LVCMOS33 | PULLUP;
# 
# #E    Output ports
# NET "PORTE<0>" LOC = "E2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<1>" LOC = "F1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<2>" LOC = "F2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<3>" LOC = "H1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<4>" LOC = "H2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<5>" LOC = "G1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<6>" LOC = "G2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTE<7>" LOC = "J1" | IOSTANDARD = LVCMOS33 | PULLUP;
# 
# #F    Unused ports
# NET "PORTF<0>" LOC = "J2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<1>" LOC = "K1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<2>" LOC = "K2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<3>" LOC = "L1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<4>" LOC = "L2" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<5>" LOC = "M1" | IOSTANDARD = LVCMOS33 | PULLUP;
# NET "PORTF<6>" LOC = "M2" | IOSTANDARD = LVCMOS33 | PULLUP;

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.