OpenCores
URL https://opencores.org/ocsvn/softavrcore/softavrcore/trunk

Subversion Repositories softavrcore

[/] [softavrcore/] [trunk/] [synth/] [Makefile] - Rev 2

Compare with Previous | Blame | View Log

SHELL=/bin/bash

SYNTH=yosys
PNR=nextpnr-ice40
PACK=icepack

DEVICE=hx8k
#PACKAGE=bg121
PACKAGE=ct256

.PHONY: all clean

TOP=top

all: $(TOP).bin

$(TOP).json: $(TOP).v
        $(SYNTH) -q -p 'synth_ice40 -top $(TOP) -json $(TOP).json' $(TOP).v

$(TOP).asc: $(TOP).json $(TOP).pcf
        $(PNR) --$(DEVICE) --package $(PACKAGE) --json $(TOP).json --pcf $(TOP).pcf --seed 1 --randomize-seed --asc $(TOP).asc

$(TOP).bin: $(TOP).asc
        $(PACK) $(TOP).asc $(TOP).bin

clean:
        rm -f $(TOP).bin $(TOP).asc $(TOP).json

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.