OpenCores
URL https://opencores.org/ocsvn/softavrcore/softavrcore/trunk

Subversion Repositories softavrcore

[/] [softavrcore/] [trunk/] [synth/] [top-ct256.pcf] - Rev 2

Compare with Previous | Blame | View Log

# iCE40HX8K-CT256 ICE40HX8K-B-EVN

set_io led[7] B5
set_io led[6] B4
set_io led[5] A2
set_io led[4] A1
set_io led[3] C5
set_io led[2] C4
set_io led[1] B3
set_io led[0] C3

set_io hwclk  J3

# This is the input for the FPGA top module:
set_io ftdi_rx B10      # input
# This is the output for the FPGA top module:
set_io ftdi_tx B12      # output

set_io pin_scl0 D16
set_io pin_sda0 C16

# Another 5 ports connected to the FTDI transceiver: 
#set_io ftdi_nrts B13   # input
#set_io ftdi_ncts A15   # output
#set_io ftdi_ndtr A16   # input
#set_io ftdi_ndsr B14   # output
#set_io ftdi_ndcd B15   # output
#set_io ftdi_rx B9      # orange
#set_io ftdi_tx A7      # yellow
#set_io nss B8
#set_io sck A9
#set_io miso A10
#set_io mosi A11


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.