OpenCores
URL https://opencores.org/ocsvn/softavrcore/softavrcore/trunk

Subversion Repositories softavrcore

[/] [softavrcore/] [trunk/] [synth/] [top-digilent_nexys_a7-cx7a100t.xdc] - Rev 2

Compare with Previous | Blame | View Log

set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN E3  } [get_ports hwclk] 
set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN H17  } [get_ports {led[0]}] 
set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN K15  } [get_ports {led[1]}] 
set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN C4  } [get_ports ftdi_rx] 
set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN D4  } [get_ports ftdi_tx] 

create_clock -period 10 [get_ports hwclk]

set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.