OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [cordic_vhdl/] [parts/] [mux2_1.vhd] - Rev 4

Compare with Previous | Blame | View Log

library IEEE;
use ieee.std_logic_1164.all;
 
entity mux2_1 is 
port(
	 x,y,s: in std_logic;
	 z: out std_logic
	);
end mux2_1;
 
architecture au of mux2_1 is
begin
z <= x when s='0' else y when s='1';  -- si S=1 salida =y, si S=0 salida =X
end au;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.