OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.3/] [DE1/] [db/] [altsyncram_fq91.tdf] - Rev 46

Compare with Previous | Blame | View Log

--altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_INPUT_A="BYPASS" CLOCK_ENABLE_OUTPUT_A="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone II" ENABLE_RUNTIME_MOD="NO" INIT_FILE="./ROMdata/rom.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=16384 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="CLOCK0" WIDTH_A=8 WIDTH_BYTEENA_A=1 WIDTHAD_A=14 address_a clock0 q_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48
--VERSION_BEGIN 13.0 cbx_altsyncram 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_stratixiii 2013:06:12:18:03:43:SJ cbx_stratixv 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ  VERSION_END


-- Copyright (C) 1991-2013 Altera Corporation
--  Your use of Altera Corporation's design tools, logic functions 
--  and other software and tools, and its AMPP partner logic 
--  functions, and any output files from any of the foregoing 
--  (including device programming or simulation files), and any 
--  associated documentation or information are expressly subject 
--  to the terms and conditions of the Altera Program License 
--  Subscription Agreement, Altera MegaCore Function License 
--  Agreement, or other applicable license agreement, including, 
--  without limitation, that your use is for the sole purpose of 
--  programming logic devices manufactured by Altera and sold by 
--  Altera or its authorized distributors.  Please refer to the 
--  applicable agreement for further details.


FUNCTION decode_4oa (data[1..0], enable)
RETURNS ( eq[3..0]);
FUNCTION mux_kib (data[31..0], sel[1..0])
RETURNS ( result[7..0]);
FUNCTION cycloneii_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbrewe)
WITH ( CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_DISABLE_CE_ON_INPUT_REGISTERS, PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_DISABLE_CE_ON_INPUT_REGISTERS, PORT_B_DISABLE_CE_ON_OUTPUT_REGISTERS, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_ENABLE_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS)
RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]);

--synthesis_resources = lut 20 M4K 32 reg 4 
OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION";

SUBDESIGN altsyncram_fq91
( 
        address_a[13..0]        :       input;
        clock0  :       input;
        q_a[7..0]       :       output;
) 
VARIABLE 
        address_reg_a[1..0] : dffe;
        out_address_reg_a[1..0] : dffe;
        deep_decode : decode_4oa;
        mux2 : mux_kib;
        ram_block1a0 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 0,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a1 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 1,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a2 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 2,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a3 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 3,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a4 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 4,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a5 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 5,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a6 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 6,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a7 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 0,
                        PORT_A_FIRST_BIT_NUMBER = 7,
                        PORT_A_LAST_ADDRESS = 4095,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a8 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 0,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a9 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 1,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a10 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 2,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a11 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 3,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a12 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 4,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a13 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 5,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a14 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 6,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a15 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 4096,
                        PORT_A_FIRST_BIT_NUMBER = 7,
                        PORT_A_LAST_ADDRESS = 8191,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a16 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 0,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a17 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 1,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a18 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 2,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a19 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 3,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a20 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 4,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a21 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 5,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a22 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 6,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a23 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 8192,
                        PORT_A_FIRST_BIT_NUMBER = 7,
                        PORT_A_LAST_ADDRESS = 12287,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a24 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 0,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a25 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 1,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a26 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 2,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a27 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 3,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a28 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 4,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a29 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 5,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a30 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 6,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        ram_block1a31 : cycloneii_ram_block
                WITH (
                        CONNECTIVITY_CHECKING = "OFF",
                        INIT_FILE = "./ROMdata/rom.hex",
                        INIT_FILE_LAYOUT = "port_a",
                        LOGICAL_RAM_NAME = "ALTSYNCRAM",
                        OPERATION_MODE = "rom",
                        PORT_A_ADDRESS_WIDTH = 12,
                        PORT_A_DATA_OUT_CLEAR = "none",
                        PORT_A_DATA_OUT_CLOCK = "clock0",
                        PORT_A_DATA_WIDTH = 1,
                        PORT_A_DISABLE_CE_ON_OUTPUT_REGISTERS = "on",
                        PORT_A_FIRST_ADDRESS = 12288,
                        PORT_A_FIRST_BIT_NUMBER = 7,
                        PORT_A_LAST_ADDRESS = 16383,
                        PORT_A_LOGICAL_RAM_DEPTH = 16384,
                        PORT_A_LOGICAL_RAM_WIDTH = 8,
                        RAM_BLOCK_TYPE = "AUTO"
                );
        address_a_sel[1..0]     : WIRE;
        address_a_wire[13..0]   : WIRE;

BEGIN 
        address_reg_a[].clk = clock0;
        address_reg_a[].d = address_a_sel[];
        out_address_reg_a[].clk = clock0;
        out_address_reg_a[].d = address_reg_a[].q;
        deep_decode.data[1..0] = address_a_wire[13..12];
        deep_decode.enable = B"1";
        mux2.data[] = ( ram_block1a[31..0].portadataout[0..0]);
        mux2.sel[] = out_address_reg_a[].q;
        ram_block1a[31..0].clk0 = clock0;
        ram_block1a[31..0].ena0 = ( deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..3], deep_decode.eq[3..2], deep_decode.eq[2..2], deep_decode.eq[2..2], deep_decode.eq[2..2], deep_decode.eq[2..2], deep_decode.eq[2..2], deep_decode.eq[2..2], deep_decode.eq[2..1], deep_decode.eq[1..1], deep_decode.eq[1..1], deep_decode.eq[1..1], deep_decode.eq[1..1], deep_decode.eq[1..1], deep_decode.eq[1..1], deep_decode.eq[1..0], deep_decode.eq[0..0], deep_decode.eq[0..0], deep_decode.eq[0..0], deep_decode.eq[0..0], deep_decode.eq[0..0], deep_decode.eq[0..0], deep_decode.eq[0..0]);
        ram_block1a[31..0].portaaddr[] = ( address_a_wire[11..0]);
        address_a_sel[1..0] = address_a[13..12];
        address_a_wire[] = address_a[];
        q_a[] = mux2.result[];
END;
--VALID FILE

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.